High-NA EUV Lithography

2y ago
276 Views
13 Downloads
6.31 MB
36 Pages
Last View : 10d ago
Last Download : 2m ago
Upload by : Maxine Vice
Transcription

PublicHigh-NA EUV lithographyenabling Moore’s law in the next decadeJan van Schoot1, Kars Troost1, Alberto Pirati1, Rob van Ballegoij1, Peter Krabbendam1, Judon Stoeldraijer1,Erik Loopstra1, Jos Benschop1, Jo Finders1, Hans Meiling1, Eelco van Setten1Bernhard Kneer2, Bernd Thuering2, Winfried Kaiser2, Tilmann Heil2, Sascha Migura2, Jens Timo Neumann21 ASML2 CarlVeldhoven, The NetherlandsZeiss Oberkochen, Germany15 June 2017, EUVL Workshop, Berkeley

OutlinePublicSlide 215 June 2017Why high-NA?Anamorphic OpticsImagingSystem ArchitectureConclusions

EUV extension roadmapintroduction55 WPH2013NXE:3300B125 WPH145 WPH185 WPHOverlay [nm]72015NXE:3350B3.52017NXE:3400B3 3NXE:nextHigh NAproducts under study 2PublicSlide 315 June 2017

Slide presented this conference by Britt Turkot, INTELPublicSlide 415 June 2017Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, JapanCourtesy Britt Turkot, INTEL, 2016-10-25

Larger NA reduces Local CDUPublicSlide 515 June 2017Due to larger aerial image contrastNon-CAR resist, Quasar Illumination20mJ/cm20.33NA0.55NA18nm CHLCDU 15% ADI

Edge Placement Error determining factor in lithoperformancePublicSlide 615 June 2017EPEIntended overlap𝑬𝑷𝑬𝒎𝒂𝒙 ′𝒔𝒚𝒔𝒕𝒆𝒎𝒂𝒕𝒊𝒄𝒔′ ′𝒍𝒐𝒄𝒂𝒍′ ′𝒈𝒍𝒐𝒃𝒂𝒍′Pitch 𝑃𝐶 3𝜎𝑃𝐵𝐴 6𝜎𝐿𝑊𝑅 222With 𝜎𝐿𝑊𝑅 and𝜎𝐶𝐷𝑈 22𝜎𝐿𝑊𝑅 𝑙𝑖𝑛𝑒 𝜎𝐿𝐶𝐷𝑈 𝑐𝑢𝑡𝑠22𝜎𝐶𝐷𝑈 𝑙𝑖𝑛𝑒𝑠 𝜎𝐶𝐷𝑈 2 22Bring system at EPE specifications byadapting the dose Throughput

Larger NA results in higher effective throughputPublicSlide 715 June 2017NA limits dose and # of LE stepsQuasar 1* Effective throughput throughput / # LE steps313123123Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan312121212313

PublicSlide 815 June 2017Anamorphic Optics

EUV: it’s all about the anglePublicSlide 915 June 2017High-NA comes with large anglesMoSi MultilayerML reflectionJan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, JapanNA 0.55

Light cones at the mask for a 0.33NA ScannerEnabling a solution with 26 mm slit on 6” masksPublicSlide 1015 June 2017Projection with 0.33 NAMag X: 4xMag Y: 4xReticleReticle layout compatible withtoday 6” mask production132 mm104 mmx33 mmy6” mask26 mmxySource: Jan van Schoot, ASML, “EUV roadmap extension by higher Numerical Aperture”, 2016 international symposium on EUV, 24 October 2016, HiroshimaWafer

Anamorphic High-NA EUV reduces the anglesEnabling a solution with 26 mm slit on 6” masksPublicSlide 1115 June 2017Multilayer Reflectivity70%Reflectivity [%]60%0.33NA – Mag 4x50%X40%Y16.5 mm30%4x/8x0.55NA – Mag 4x20%26 mmY - 8x10%Y - 4xX0%012345678910 11 12 13 14 15 16 17 18Angle of incidence on the mask [deg]Wafer

High-NA anamorphic Half Field conceptFaster stages required to obtain high productivity half-field scannerPublicSlide 1215 June 2017Acceleration of mask stage 4xProjection: 0.33 NAProjection: 0.5 NAY-magnification 4x 8x:2x wafer acceleration resultsin 4x mask accelerationAcceleration of wafer stage 2xHalf Field yields 2x more fields:2x wafer stage acceleration maintainsoverhead while goingto twice number of scans

High-NA Field and Mask Size productivityPublicSlide 1315 June 2017Throughput of 185wph with anamorphic HFThroughput for various source powers and doses200WS, RS current performanceHigh-NA anamorphicWS 2x, RS 4x500 Watt60mJ/cm2Throughput [300mm/hr]180NXE:3300160FF140HF1201008060500W Watt30mJ/cm240High-NA Half Field scanner200needs 500W for051015202530Source Power/Dose [W/(mJ/cm2]35150wph at 60mJ/cm2

Source power: 250W demonstrated,10x improvement in five yearsPublicSlide 1415 June 2017250W with dose in specificationsobtained on development source

High-NA optics design availablePublicSlide 1515 June 2017Larger elements with tighter specificationsExtreme aspheres enablingfurther improved wavefront /imaging performanceReticle levelTight surface specifications enablinglow straylight / high contrast imagingBig last mirror driven byHigh-NAObscuration enableshigher optics transmission Potential of up to 2x vs 3300Wafer levelNA 0.25NA 0.33NA 0.5Source: Zeiss, “EUV lithography optics for sub-9nm resolution,” Proc. SPIE 9422, (2015).Design examples

High-NA flexible illuminatorPublicSlide 1615 June 2017Principle NXE:3300/3400 illuminator can be reusedField Facet MirrorIlluminator elliptical pupil is projected in acircular pupil at the waferIntermediateFocusPupil Facet MirrorSee Joerg Zimmermann et al. “Flexible illumination for ultra-fine resolution with 0.33 NA EUV lithography”, EUVL Symposium 2016

Imaging verification of the new Half Field conceptPublicSlide 1715 June 2017Logic N5 clip Metal-1, 11nm lines, SMO is done at 8xAerial Image Intensity in HyperlithFFNote: pictures at same scale,smaller mask reflection isalso visibleQFHF

Imaging evaluation of key lithographic structures: comparableperformance as 0.33 NA at 40% lower resolutionComparable Exposure Latitude at 40%lower resolutionDOF at equivalent k1 factorFollows NA scaling Simulations based on high-NA lens Jones pupil; mask 3D effects includedPublicSlide 1815 June 2017𝟎.𝟑𝟑 𝟐𝟎.𝟓𝟓

Good overlapping process window for customerrelevant structures8nm spaces through pitch12nm staggered CHs8nm CD, pitch 16nm10nm CD, pitch 20nm12nm CD, pitch 24nmDoF @ 10% EL (H,V) 68 / 56nmMulti-pitch L/S patternDoF @ 10% EL 73nmLogic cutmaskSimulations based on high-NA lens Jones pupil; mask 3D effects and curved exposure slit includedPublicSlide 1915 June 2017

High-NA system has smaller M3D effects than 0.33NASmaller mask angles of incidence due to anamorphic systemPublicSlide 2015 June 2017*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015

PublicSlide 2115 June 2017System Architecture

High-NA system architecture availableImproved metrology2 3x improvement in overlay/focusMask Stage4x increase in accelerationLens & illuminator NA 0.55 for sub-10nm resolution High transmissionTWINSCAN NXE 3400New FramesImproved thermal and dynamiccontrol with larger opticsWafer Stage2x increase in accelerationSourceCompatible with 0.33 NA sources, powerimprovements opportunities over timePublicSlide 2215 June 2017

High-NA Mask Stage solution for increased accelerationPublicSlide 2315 June 2017Improved motor technology & different -light weight- architecture !Power 𝐼 2 𝑅Improved RS motorPower: 9 x refCurrent RSNo solution 𝑘 𝑎𝑐𝑐 𝑚𝑎𝑠𝑠2 𝑅𝑚𝑜𝑡𝑜𝑟10 x200185Limiting increasing power by:170125140390%5x4x110ref8001xCurrent RS in High-NAPower: ref2x3x04xRelative RMS RS PowerThroughPut [wph]870% Improved motor technology (k, R) Reduce massFurther Optimizing powerconsumption: New stage architecture withlower massRelative RS acceleration in Y-directionCourtesy Chris Hoogendam, ASML

Reticle stage accelerationPublicSlide 2415 June 20174 x 3400 acceleration3400 reticle stageHigh-NA reticle stageJan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Mask stage short-stroke motor: demonstrated improvedaccuracy at high accelerationPublicSlide 2515 June 2017MeasuredRequiredActuators force (N)ref1.2xPositioning accuracy(MA-Y, nm)0.37 1.1

Cooling Hood as Wafer Heating SolutionExtract heat from wafer using a cold body & gas pressure outside exposed areaPublicSlide 2615 June 2017NXE:3500 with Top Cooler (“Cooling Hood”)NXE:3500 without Top Cooler𝑃𝑐𝑜𝑜𝑙 𝐻𝑇𝐶 𝑝, 𝑧 𝐴 𝑑𝑇DGLEUVGasWaferClampIncludeWafer TopCoolingDGLEUVTop CoolerWaferPcoolClampModelled raw distortionsT lowPcoolGasPcool Cooling power [W]A Top cooler area [m2]dT Twafer – Thood [K]HTC heat transfer coefficient[W/(m2 K)]Modelled raw distortionsTop Cooler working principle: Heat from wafer to cold body via gas Match Pcool with exposure load, Switch cooling on/off between scans gas pressure switching

Wafer Heating NXE:3500 without coolerPublicSlide 2715 June 2017

Wafer Heating NXE:3500 with coolerPublicSlide 2815 June 2017

Mechanical layoutModularity key for manufacturing, shipment and serviceJan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, JapanPublicSlide 2915 June 2017

High-NA surface metrologyPublicSlide 3015 June 2017 Accuracy of mirror surface metrology is key for imaging quality High-NA wavefront needs improvement of factor 2x compared to 3300 2x bettermeasurement accuracy required For larger mirrors: Diameter of mirrors about doubledvacuum chambersJan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Non-design but leadtime critical components orderedChamber flangesPublicSlide 3115 June 2017Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Non-design but leadtime critical components orderedChamber doorsPublicSlide 3215 June 2017Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan Courtesy Carl Zeiss SMT GmbH, 2016-09-20

New grinding technology and machineryPublicSlide 3315 June 2017Courtesy Carl Zeiss SMT GmbH, 2016-09-20

Facility construction Zeiss in Oberkochen startedPublicSlide 3415 June 2017Courtesy Carl Zeiss SMT GmbH, 2016-09-20

SummaryPublicSlide 3515 June 2017 High-NA extends Moore’s Law into the next decade Larger contrast of High-NA helps mitigating LCDU New anamorphic concept enables good imaging with existing maskinfrastructure resulting in a Half Field image New stages technologies and high transmission enable throughput 185WpH We are closing the feasibility, optics in design phase, first HW in place

The authors would like to thank the High-NA teams in- Oberkochen- Wilton- VeldhovenPublicThank youfor your attentionParts of these developments were funded by ECSEL JU projects SeNaTe, TAKE5 and TAKE-MI5We thank the Federal Ministry of Education and Research (Germany) for funding of the BMBF project 16N12256K„ETIK“ and the projects 16ES0255K „E450LMDAP“, 16ESE0036K “SeNaTe”, 16ESE0072K “TAKE5” within theframework of the ENIAC and ECSEL programs, respectively.

Jun 15, 2017 · Jan van Schoot1, Kars Troost1, Alberto Pirati1, Rob van Ballegoij1, Peter Krabbendam1, Judon Stoeldraijer1, Erik Loopstra 1, Jos Benschop1, Jo Finders , Hans Meiling1, Eelco van Setten1 2Bernhard Kneer , Bernd Thuering 2, Winfried Kaiser2, Tilmann Heil , Sascha Migura , Jens Timo Neumann2 15 J

Related Documents:

Lithography in the form of the carved type printing can be dated as far back as the 3rd century AD. Starting from the 19th century lithography played a major role as the basis for dissemination and preservation of the knowledge in the form of printed books, maps, newspapers etc. In the mid 20th century, with the invention of the micro-

central to the development of the inverse lithography op-timization algorithm. A. Fourier Series Expansion Model A schematic of an optical lithography system with PCI is illustrated in Fig. 1. The light source with a wavelength of Fig. 1. (Color online) Optical lithography system with partially coherent illumination.

Internet of Things High Performance Computing Mobile] Slide 3. Public . Industrial high power CO 2 laser High beam quality for gain extraction and EUV generation . Large volume with density and temperature 4. Long time scale to maintain density and s) temperature 3. Low Temp 2. Density is

short wavelength sources and optics). The workshop proceedings will be published online and made available to all. This year, the EUV Source Workshop is organized by HiLASE and EUV Litho, Inc. and the workshop has been made possible by the financial support of workshop sponsors: Greateyes, Gigaphoton, ETHZ and Energetiq.

visible, collected by a visible detector after being passed through a polarizer, and UV (HI 121.6 nm) or EUV (HeII 30.4 nm) lines collected by a EUV/UV detector. Figure 1 Imaging and spectrographic multi-slits field-of-view (FOV) at 0.28 AU for the EUV/UV spectroscopic path. The FOV sector for spectroscopy is about 32 .

the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (10 sec), and accuracy (20%). The EVE program will provide solar EUV irradiance data for NASA's Living With the Star (LWS) program, including near real-time data products for use in operational atmospheric models that specify the space

PROCEEDINGSOFSPIE ExtremeUltraviolet(EUV) LithographyIII Patrick P. Naulleau ObertR. WoodII Editors 13-16February2012 SanJose, California, UnitedStates Sponsored SPIE Cosponsoredby Cymer, Inc. (United States) Publishedby SPIE

EUV Tech, 2840 Howe Road Suite A, Martinez, CA 94553, USA Improved Stochastic Imaging Properties in Contact Hole Pattern by Using Attenuated PSM for EUVL (P65) Jung Sik Kim1, Seongchul Hong2, Jae Uk Lee2, Seung Min Lee2, Jung Hwan Kim2, Hyun Min Song1, and Jinho