ECE 111 (Winter 2019) - UCSD

2y ago
112 Views
2 Downloads
626.83 KB
15 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Camden Erdman
Transcription

ECE 111 (Winter 2019) http://cwcserv.ucsd.edu/ billlin/classes/ECE111/index.php Professor Bill Lin– Office hours: Mon 1:00-1:50p, 4310 Atkinson Hall Lectures:– Section A00: MW 2:00-3:20p, EBU1-2315– Section B00: MW 3:30p-4:50p, EBU1-2315 No regular discussion sections (only schedule if needed) TAs:– Jianling Liu, Justin Law, Dylan Vizcarra, Yu Huang and Ping Yin– Office hours: TBD– Note: You may get help from any TA during their office hours.1

Introduction Goal: Learn Verilog-based chip design In particular, we will be using the Hardware DescriptionLanguage (HDL) SystemVerilog, which is a “superset” of Verilog:– Verilog, IEEE standard (1364) in 1995– SystemVerilog, extended in 2005, current version is IEEE Standard1800-2012 The name “SystemVerilog” is confusing because it still describeshardware at the same level as “Verilog”, but SystemVerilog addsa number of enhancements and improved syntax. SystemVerilog files have a “.sv” extension so that the compilerknows that the file is in SystemVerilog rather than Verilog.2

Why Learn Verilog/SystemVerilog Most EE jobs are Verilog/SystemVerilog based chip designsASICDesignFPGADesign3

Why Learn Verilog/SystemVerilog Emergence of the FPGA CloudExample: Microsoft’s Catapult Project deployed worldwide[Credit: Microsoft, MICRO’16]4

Why Learn Verilog/SystemVerilog Emergence of the FPGA CloudExample: Microsoft’s Project BrainWaveEach FPGA implements many Soft DPUs[Credit: Microsoft, Hot Chips’17]5

Other FPGA Clouds6

FPGA Cloud Applications Bing search engine implemented in Microsoft’sFPGA cloud Machine learning/AI High-speed frequency trading Bioinformatics (e.g. DNA sequencing)7

Class Project Final project on Bitcoin mining Great deal of interest in cryptocurrencies8

Class Project Blockchain is the underlying technology for cryptocurrencies,which provides authenticated global ledger (tamper-proofglobal transaction record) Blockchain is finding many applications: e.g.,9

Class Project Bitcoin mining“nounce”“target” 00xxxx xxx32 “block”512“msg”SHA256256“hash” found?512 Every “msg” will produce different 256-bit hash. Changing “nounce”will change “msg” and produce different 256-bit hash. Find “nounce” such that SHA256(nounce block) “target” If “target” has 1 leading 0, then chances of success every 2 tries. If 2leading 0’s, every 4 tries, 30 leading 0’s, every billion tries, etc. Bitcoin by design makes “target” increasingly difficult after certainnumber of bitcoins have been mined.10

Class Project Final project based on how fast can your design evaluate“nonces” (equivalent to how fast you can mine a Bitcoin). i.e.,final project grade based on performance only. You can use the entire FPGA to create as many instancs ofSHA256 as you like, and you can greatly improve theperformance of each SHA256 unit using techniques likepipelining, etc. Intermediate project: Design of a SHA256 unit. Projects done in teams of 2 (you have the option of workingalone). Your partner can be in the other section.11

Software See Software Downloads Pagehttp://cwcserv.ucsd.edu/ billlin/classes/ECE111/software.phpwhich links to this:http://fpgasoftware.intel.com/18.1/?edition lite Quartus Prime Lite Edition– Quartus Prime (earlier versions were called Quartus II)– ModelSim-Intel FPGA Edition Arria II device supportAvailable for Windows and LinuxFor Macs, you can use Bootcamp to dual-boot WindowsWindows Machines with software setup also available inEBU1-4309. You should be able to get the door code from here:https://sdacs.ucsd.edu/ icc/index.php12

Software Class website has a tutorial page on Quartus and ModelSimhttp://cwcserv.ucsd.edu/ billlin/classes/ECE111/Quartus ModelSim Tutorial/quartus modelsim tutorial.html13

More Information Recommended textbook– Digital Design and Computer Architecture, Second Edition, byDavid Harris and Sarah Harris– We will only be using Chapter 4 of this book, which provides agood overview of SystemVerilog with good examples.– Make sure you get the 2nd Edition since the 1st Edition usesVerilog instead of SystemVerilog– Book recommended, but not required.14

Honor Code The UCSD Student Conduct ct/regulations/22.00.html Violations will be reported to the Student Conduct Office(as well as failing the class)15

“nonces” (equivalent to how fast you can mine a Bitcoin). i.e., final project grade based on performance only. You can use the entire FPGA to create as many instancs of SHA256 as you like, and you can greatly improve the performance of each SHA256 unit using techniques like pipelini

Related Documents:

He has served as the Director, UCSD Medicine 401 Clerkship and as Associate Director, UCSD Internal Medicine Residency. UCSD Chancellor's Scholars Program UCSD Emeriti Mentor Program List of Mentors - 2022-2023 UCSD Emeriti Mentor Program, c/o UCSD Retirement Resource Center Mailing Address: 9500 Gilman Drive, Dept. 0020, La Jolla, CA 92093 .

1: UCSD faculty and staff retirees. 2: UCSD Alumni. 3: Parents of UCSD retirees, alum-ni, or active UCSD faculty and staff . 4: 5-year members of the Chancel-lor’s Associates. 5: Other community members as may be mutually agreed upon by the management and UCSD

Electrical & Computer Engineering Student Affairs Office ece.ucsd.edu . ECE 174. ECE 175A: ECE 175B* Year 4: ECE 171B* ECE 172A* DESIGN. PROF. ELECTIVE: PROF. ELECTIVE. TECH. ELECTIVE: TECH. ELECTIVE. MACHINE LEARNING & CONTROLS DEPTH *Pick one of ECE 171B, 172A or 175B to complete the 4th Depth course requirement.

5. The Electrophysiology of Tinnitus UCSD 6. Connectivity-guided Plasticity-induced Rehabilition Training (PIRT) for Autism Spectrum Disorders UCSD UCSD UCSD UCSD UCSD 3,500 6,000 12,000 6,000 25,000 10,000 1989-1990 1992-1993 1993-1995 1995-1996 2001-2002 2010-2011 PI

This is a list of Electrical & Computer Engineering courses and common or related courses offerings at UCSD and UCSD Extension. This is a projected list of course . ECE 5 Experience ECE: Making, Breaking, Hacking Stuff x ECE 15 Engineering Computation . ECE 174 Introduction to Linear and Nonlinear Optimization with Applications x

2 34.7215 -111.9907 Highway 89 Bridge 1 3 34.7133 -111.9891 Scouting Location 1 4 34.7101 -111.9869 Irrigation return 1 5 34.7082 -111.9822 Rock bar 1 6 34.7068 -111.9549 Great Verde Lake Bed 1 & 2 7 34.6920 -111.9658 Scouting Location 2 8 34.6930 -111.9622 Skidmore RAP 2 9 34.6903 -111.9658 Prairie Lane RAP 2

ECE 429: Audio Electronics ECE 461: Introduction to VLSI ECE 466: RF and Microwave Integrated Circuits ECE 468: Advanced Analog CMOS Circuits and Systems ECE 469: High Speed Integrated Electronics . Computer Design and Computer Engineering Concentration Requirements . ECE 401: Advanced Computer Architecture Two of the following .

See pg. 6 for Holiday schedules/Ver pág. 248 para obtener los horarios de días festivos UCSD students may ride free on all NCTD BREEZE routes and SPRINTER service by showing a valid UCSD ID and qualifying media (U-PASS sticker within expiration date printed on sticker). UCSD Faculty and Staff may ride with an ECO Pass Regional Transit Pass on .