SICK STEGMANN GmbH

3y ago
68 Views
3 Downloads
5.46 MB
197 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Esmeralda Toy
Transcription

MANUALHIPERFACE DSL Implementationen

ManualHIPERFACE DSL SICK STEGMANN GmbHAll rights reserved. No component of the description may by copied or processed in any other way without thewritten consent of the company. This documentation applies to the HIPERFACE DSL , release version 1.06, release date May 31, 2014.Subject to modification without notice.SICK STEGMANN GmbH accepts no responsibility for the non-infringement of patent rights, e.g. in the case ofrecommendations for circuit designs or processes.The trade names listed are the property of the relevant companies.HIPERFACE and HIPERFACE DSL are registered trademarks of SICK STEGMANN GmbH.SICK STEGMANN GmbHDürrheimer Strasse 3678166 Donaueschingen, GermanyTel.: (49) 771 / 807 – 0Fax: (49) 771 / 807 – 100Internet: http://www.sick.com/E-mail: info@sick.comMade in Germany, 2014.8017595/YE98SICK STEGMANN GmbH Subject to change without notice1

ManualHIPERFACE DSL Table of contents1.1.1.1.2.1.3.1.4.Scope of application of the document . 5Symbols used . 5 HIPERFACE DSL for Motor Feedback Systems . 6 Features of HIPERFACE DSL . 7Associated documents . 82.2.1.2.2.2.3.2.4.2.5.Protocol overview . 9Process data channel . 12Safe Channel 1. 12Safe Channel 2. 13Parameters Channel . 13SensorHub Channel . 143.3.1.3.2.3.3.Hardware installation . 15Interface circuit . 15FPGA IP Core . 18Cable specification . 224.4.1.4.2.4.3.4.4.Interfaces . 24Drive interface . 25SPI PIPE Interface . 25Control signals . 28Test signals . 305.5.1.5.2.5.3.5.4.Register diagram . 33Explanation of the registers . 34Online Status . 35DSL Master function register . 37Function register for the DSL Slave . 676.6.1.6.2.6.3.6.4.6.5.6.6.Central functions . 71System start . 71System diagnostics . 72Fast position . 74Safe position, Channel 1 . 81Parameters Channel . 82Status and error messages . 917.7.1.7.2.Motor feedback system resources . 100Access to resources . 100Resources list . 1038.8.1.8.2.8.3.FPGA IP-Core . 157Interface blocks . 161Implementation of the IP Core for Xilinx Spartan-3E/6 . 176Installation of the IP Core for Altera FPGAs . 184Keywords index . 192Glossary. 193Versions . 1942SICK STEGMANN GmbH Subject to change without notice

ManualHIPERFACE DSL Table of figuresFigure 1: Drive system with HIPERFACE DSL . 6Figure 2: Length of protocol packages . 10Figure 3: Data channels in HIPERFACE DSL . 11Figure 4: HIPERFACE DSL SensorHub interface . 14Figure 5: Interface circuit with separate encoder cable . 16Figure 6: Interface circuit with two core cable (integrated in cable) . 16Figure 7: Block diagrams of the "standard" DSL Master IP Core with interfaces . 18Figure 8: Reset procedure . 21Figure 9: Cross section of the separate encoder cable with four encoder cables . 22Figure 10: Cross section of the integrated cable with two encoder cables . 23Figure 11: DSL system interfaces . 24Figure 12: SPI-PIPE interface time control . 26Figure 13: "Read Pipeline" transaction . 27Figure 14: fast pos rdy indication. . 29Figure 15: Sample signal . 30Figure 16: Register block overview . 33Figure 17: Interrupt masking . 46Figure 18: DSL Slave status and summary . 55Figure 19: Sequence of the bytes to calculate the CRC . 57Figure 20: Status table for DSL system start. . 71Figure 21: Position value format . 74Figure 22: Polling of position registers in free running mode . 77Figure 23: Polling of rotation speed registers in free running mode . 77Figure 24. SYNC mode signals . 79Figure 25: Polling registers for the fast position in SYNC mode. . 80Figure 26: Polling of rotation speed registers in SYNC mode. . 80Figure 27: Polling the safe position . 81Figure 28: Reading from remote register . 83Figure 29: "Long message" characteristics. 85Figure 30: Example of a "long message" read command . 88Figure 31: Reset of the Parameters Channel . 90Figure 32: Acknowledgment of event bits . 91Figure 33: Tree structure of the resources database . 1018017595/YE98SICK STEGMANN GmbH Subject to change without notice3

ManualHIPERFACE DSL Figure 34: Workflows for data storage . 144Figure 35: SensorHub categories . 153Figure 36: Block circuit diagram of the DSL Master IP Core. 157Figure 37: Combination examples of interface blocks . 161Figure 38: Serial interface block signals . 162Figure 39: Time control of the SPI . 164Figure 40: Parallel interface block signals . 168Figure 41: Allocation of parallel interface block to host . 170Figure 42: Read access basic interface . 173Figure 43: Write access basic interface . 1734SICK STEGMANN GmbH Subject to change without notice

ManualHIPERFACE DSL 1. Scope of application of the documentThis document is for a standard HIPERFACE DSL application. For safetyapplications, please only refer to the document “HIPERFACE DSL safety manual(8017596).1.1. Symbols usedNote/tipNotes refer to special features of the device. Please pay attention tothese notes. They often contain important information.Tips provide additional information that facilitates using the documentation.ACHTUNGCAUTIONSafety notesSafety notes contain information about specific or potential dangers,and misuse of the application. This information is to prevent injury.Read and follow the safety notes carefully.8017595/YE98SICK STEGMANN GmbH Subject to change without notice5

ManualHIPERFACE DSL 1.2. HIPERFACE DSL for Motor Feedback SystemsThis document describes the use and implementation of the HIPERFACE DSL dataprotocol installed in motor feedback systems of servo drives.HIPERFACE DSL is a purely digital protocol that requires a minimum of connectioncables between frequency inverter and motor feedback system. The robustness ofthe protocol enables the connection to the motor feedback system via the motorconnection cable.Motor feedback systems with the HIPERFACE DSL interface can be used across allperformance ranges and substantially simplify the installation of an encoder systemin the drive: Standardized digital interface (RS485) Analog components for the encoder interface are not required Standardized interface between the frequency inverter application and theprotocol logicDriveOK MFBsystemDSL connectionFigure 1: Drive system with HIPERFACE DSLMotor Based on the name for the predecessor protocol, the SICK HIPERFACE , the nameHIPERFACE DSL stands for HIgh PERformance InterFACE Digital Servo Link.This interface takes into account all the current requirements of digital motorfeedback systems and also contains future enhancements for the manufacturers offrequency inverters.6SICK STEGMANN GmbH Subject to change without notice

ManualHIPERFACE DSL 1.3. Features of HIPERFACE DSL Some of the main advantages of HIPERFACE DSL are based on the opportunity forconnection of the encoder: A digital interface on the frequency inverter for all communication with themotor feedback system. The interface complies with the RS485 standard witha transfer rate of 9.375 MBaud. Communication with the encoder via a dual cable Power supply and communication with the encoder can be carried out usingthe same dual cable. This is possible by the enhancement of the frequency inverter with a transformer. The connection cables to the encoder can be routed as a shielded, twistedpair cable in the power supply cable to the motor. This means that no encoderplug connector to the motor and to the frequency inverter is necessary. The cable length between the frequency inverter and the motor feedbacksystem can be up to 100 m, without degradation of the operating performance.The digital HIPERFACE DSL protocol can be used for a variety of frequency inverterapplications: For the feedback cycle of the frequency inverter's synchronous cyclic datathat enables synchronous processing of position and rotation speed ofthe encoder. Shortest possible cycle time: 12.1 µs. Transmission of the safe position of the motor feedback system witha maximum cycle time of 192 µs. Redundant transmission of the safe position of the motor feedback systemwith a maximum cycle time of 192 µs, so that suitable motor feedback systemscan be used in SIL2 applications (in accordance with IEC 61508). Transmission of the safe position of the motor feedback system on a secondchannel with a maximum cycle time of 192 µs, so that suitable motor feedbacksystems can be used in SIL3 applications (in accordance with IEC 61508). Parameter data channel for bi-directional general data transfer with a bandwidth of up to 340 kBaud. This data includes an electronic type label fordesignation of the motor feedback system and for storage of frequencyinverter data in the motor feedback system. SensorHub channel via which motor data from external sensors is transmitted,that are connected by the HIPERFACE DSL SensorHub protocol to the motorfeedback system.8017595/YE98SICK STEGMANN GmbH Subject to change without notice7

ManualHIPERFACE DSL The protocol is integrated into the frequency inverter in the form of hardware logic.This logic circuit is supplied by several manufacturers as an IP Core for FPGAcomponents (FPGA Field Programmable Gate Array). The available protocol logic enables free routing when installing theHIPERFACE DSL IP Core. The protocol circuit can be installed along with thefrequency inverter application on the same FPGA. Choice between full-duplex SPI interface (SPI serial peripheral interface)or parallel interface between protocol logic and frequency inverter applicationsfor standardized access to process data (position, rotation speed) andparameters. Fast additional full-duplex SPI interface between protocol logic and frequencyinverter applications for standardized access to secondary position data Additional configurable SPI interfaces for output of the data from externalsensors. Configurable interrupt output1.4. Associated documentsAlong with this manual, the following documents are relevant for the use of theHIPERFACE DSL interface:Document numberTitle 8017596HIPERFACE DSL safety manualTable 1: Associated documentsStatus2014-05-31Individual encoder types with the HIPERFACE DSL interface are described with thefollowing documents: Data sheet Operating instructions Errata document8SICK STEGMANN GmbH Subject to change without notice

ManualHIPERFACE DSL 2. Protocol overviewHIPERFACE DSL is a fast digital protocol for motor feedback systems for theconnection between servo drive and motor feedback system. The protocol is installedin the transport layer in the frequency inverter using a digital logic circuit (DSL MasterIP Core).The position data are generated in two different ways in HIPERFACE DSL , either infree running mode, in which the position values are sampled and transmitted asquickly as possible, or in SYNC mode, in which the position data are sampled andtransmitted synchronously with a defined clock signal. With a frequency inverterapplication, this clock signal is normally the clock feedback of the frequency inverter.In SYNC mode the protocol matches the time points for the sampling of the datawithout time fluctuations with the clock coming from the frequency inverter.For each frequency inverter cycle at least one position value is sampled andtransmitted with constant latency to the DSL Master. As the protocol matches theinternal data transfer speed to the frequency inverter cycle, the overall transfer rate ofthe HIPERFACE DSL depends on the frequency inverter clock.The protocol package is matched to the various lengths, see Figure 2. Provided thefrequency inverter cycle is long enough, additional sampling points can be positioned inthe frequency inverter cycle, known as "extra" packages. The number of additionalpackages is programmed by the user with a distribution value.The number of packages transmitted per frequency inverter cycle cannot be selectedat random, as the lower and upper range length of a protocol package must beadhered to. This must be taken into account when setting the distribution value.In free running mode, the frequency inverter cycle is not taken into account forsampling and transmission and the protocol uses the minimum package length.It must be noted that the minimum package length in free running mode is shorterthan the minimum package length in SYNC mode.Table 2 shows the dependency of the lengths of the protocol packages usingexamples for the length of the frequency inverter cycle.8017595/YE98SICK STEGMANN GmbH Subject to change without notice9

ManualHIPERFACE DSL Figure 2: Length of protocol packagesInverter cycle frequency(kHz)Length of thefrequency invertercycle (µs)Length of the 812512.501662.512.50402512.5037 to 8427 to 12.127 to 12.1Free running-11.52Table 2: Frequency inverter cycle and length of protocol packages10SICK STEGMANN GmbH Subject to change without noticeProtocolpackages perfrequency invertercycle40201210521--

ManualHIPERFACE DSL In HIPERFACE DSL , the data are transmitted over

, release version 1.06, release date May 31, 2014. Subject to modification without notice. SICK STEGMANN GmbH accepts no responsibility for the non-infringement of patent rights, e.g. in the case of recommendations for circuit designs or processes. The trade names listed are the property of the relevant companies.

Related Documents:

SICK microScan3 SICK S300 / S3000 Expert HOKUYO UAM-05LP LEUZE RSL4XX OMRON OS32C-DM SICK microScan3 SICK S300 / S3000 Expert Non-safety laser scanners P F OMD30M-R2000 P F OMD30M-R2000 HD SICK LMS10x/LMS141/LMS151 SICK LMS5xx SICK TiM571 P F OMD30M-R2000 P F OMD30M-R2000 HD SICK LMS10x/LMS141/LMS151 SICK LMS5xx SICK TiM571 P F OMD30M

Fehling Instruments GmbH &Co. KG Globus Medical Germany GmbH HumanTech Germany GmbH Johnson & Johnson Medical GmbH - DePuy Synthes Maxxspine Limited Medtronic GmbH Richard Wolf GmbH SIGNUS Medizintechnik GmbH Stryker GmbH & Co. K

Speck Pumpen Walter Speck GmbH & Co. KG Memmert GmbH Co. KG SQUEEZY Sorts Nutrition GmbH Alpecin / Dr. Kurt Wolff GmbH & Co. KG Auto-Fiegl GmbH BAYERN3 Blueseventy Compressport UVEX SPORTS GmbH & Co. KG ULTRASUN AG Pyraser Landbrauerei GmbH & Co. KG Erdinger Weißbräu Frankenbrunnen GmbH

Kurt Obermeier GmbH & Co. KG Köster Bauchemie AG lavTOX - Holzlabor MAPEI GmbH MC Bauchemie GmbH & Co. KG Moll bauökologische Produkte Mycometer Neisius Bautenschutzprodukte Odenwald-Chemie GmbH Otto Richter GmbH Pöppinghaus & Wenner PolygonVatro GmbH RecoSan GmbH redstone GmbH

Schreinerei Pfaff e.K. 1020. Pronto Cuisines 1021. NEG-Novex GmbH 1022. H. Vollmer GmbH 1023. Montapanel Werkbladen 1025. Kitchentech BV 1026. Franz Joseph Schütte GmbH 1027. EffeQuattro Cucine Componibili 1028. Brita GmbH 1029. Haas GmbH 1031. Boretti B.V. 1045. Steinform GmbH 1046. OLD

Speck Pumpen Walter Speck GmbH & Co. KG Memmert GmbH Co. KG SCOTT SPORTS SA BAYERN 3 Blueseventy UVEX SPORTS GmbH & Co. KG Germania Airline mbH Pyraser Landbrauerei GmbH & Co. KG Erdinger Weißbräu Frankenbrunnen GmbH Co. KG Red Bull Recaro Aircraft Seating GmbH

Robert Bosch Power Tools GmbH 5 / 5117 SNA Germany GmbH 5 / 5523 STÖGER AUTOMATION GmbH . Manual gear rack and toggle lever presses Halle / Stand burster präzisionsmesstechnik gmbh & co kg . 5 / 5312 PROMESS Montage- und Prüfsysteme GmbH 4 / 4312 Joachim Richter Systeme und Maschinen GmbH & Co. KG

worts, lichens, mosses, algae and fungi also occur. CLIMATE : The abrupt variations in the altitude (elevations) have created diverse climatic conditions. The climate is warm and humid during summer and monsoon season (June Oct.) and moderately cold during winter (Dec. Feb.) at lower elevations. The winter months become more severe as one goes up. Places like Lachen, Lachung and Dzongri areas .