Dry Etching - University Of Washington

3y ago
20 Views
3 Downloads
2.09 MB
32 Pages
Last View : 10d ago
Last Download : 3m ago
Upload by : Madison Stoltz
Transcription

EE-527: MicrofabricationDry EtchingR. B. Darling / EE-527 / Winter 2013

Outline Stagnant gas phase etching (XeF2)Plasma etching (sputter etching)Ion millingReactive ion etching (RIE)Deep reactive ion etching (DRIE)R. B. Darling / EE-527 / Winter 2013

The Need for Anisotropy For precise micromachining, it is highly desirable for thematerial removal (cutting) to be directional. Isotropic wet etches typically etch as fast laterally as vertically,which requires compensation in their mask and process designs. Isotropic wet etches are not capable of making features withaspect ratios (depth : width) greater than unity. Anisotropic etching can be achieved through the material (crystalor microstructure anisotropy) or through the process (ionbombardment directionality). Dry etching using plasmas is the most used and most versatilemethod for achieving the high anisotropy that is required forhigh-aspect ratio or small featured devices.R. B. Darling / EE-527 / Winter 2013

Etching Anisotropy Etch anisotropy determines the amount of maskinglayer undercut:masking layersubstrate waferundercutAnisotropic Etchingfrom substrate microstructure(single crystal silicon)undercutIsotropic EtchingundercutAnisotropic Etchingfrom direction of bombarding ion(ion milling or RIE)R. B. Darling / EE-527 / Winter 2013

Other Reasons for Dry Etching Wet release of suspended structures can cause breakageand sticking due to surface tension of liquid pullingsurfaces together upon removal. Dry etching is carried out at low pressures insidevacuum chambers, so particle contamination is greatlyreduced. Dry etching is well suited for single wafer processing. Dry etching allows for precision end point control.R. B. Darling / EE-527 / Winter 2013

XeF2 Stagnant Gas Phase Etching Silicon is readily etched by noble gas halogens. XeF2 is the most commonly used:– 2XeF2 Si 2Xe SiF4.– XeF2 is a solid at room temperature which can be sublimatedby low pressure (exposure to vacuum).– Typically used at a pressure of 1-2 Torr to give Si etch ratesof 1-3 µm/min.– Very high selectivity: virtually no etch rate for Al, SiO2,Si3N4, and photoresist.– Leaves a very rough surface: 10 µm granules.– Exothermic: 1 W/cm2 of heat produced.– Samples must be thoroughly dehydrated before etching.– 2XeF2 2H2O 2Xe 4HF 2O2. (HF etches SiO2!)R. B. Darling / EE-527 / Winter 2013

XeF2 Etching System Refer to Hoffman, et al., MEMS 1995 Conference.Figure from Kovacs, MMTSB, 1998.R. B. Darling / EE-527 / Winter 2013

Commercial XeF2 Etching System XACTIX model X4 system:Aluminum cantilevers released using XeF2 system.(XACTIX & Chad O’Neal, Louisianna Tech. Univ.)R. B. Darling / EE-527 / Winter 2013

Plasma Excitation Because of their low density, most gas phase etching chemistrieshave rates that are too slow at room temperature. Increasing the rate requires exciting the reacting species to formradicals and giving these radicals kinetic energy. There exist several ways to achieve this:– Direct heating.– Chemical energy from combustion.– Electromagnetically coupled energy from an electrical discharge and usedto create a plasma state. Advantages of plasmas:––––Electrically controllable with high power efficiencies.Creates free radicals through ionization.Creates high kinetic particle energies without high substrate temperatures.Performed in a vacuum chamber, giving good contamination control.R. B. Darling / EE-527 / Winter 2013

Plasma Etching Plasma etching involves physical bombardment of the substrateby an ion which is nominally inert.– Ar is the most common gas used for this. The impact (momentum transfer) from accelerated Ar ionsknocks loose substrate ions, called sputter etching or simplyplasma etching. The etching rate is determined by the sputtering yield:No. of ejected atomsSputtering Yield S No. of incident ions The sputtering yield is a function of:––––Substrate compositionIncident ion speciesIncident ion kinetic energyIncident ion angleR. B. Darling / EE-527 / Winter 2013

Plasma (Sputtering) Etch RatesMaterialSputter Etch Rate, 50FeO450-490Y2O375In2O3 / Sn2O380-200LiNbO3390-420AZ1350 photoresist (novolac resin)200-250Rates are for Ar ions incident with an energy of 500 eV and with a flux of 1 mA/cm3.Data from J. S. Logan, Handbook of Plasma Processing Technology, 1990.R. B. Darling / EE-527 / Winter 2013

Sputtering Yield: Ar AlFigure from D. N. Ruzic, Handbook of Plasma Processing Technology, 1990.R. B. Darling / EE-527 / Winter 2013

Sputtering Yield: Ar SiFigure from D. N. Ruzic, Handbook of Plasma Processing Technology, 1990.R. B. Darling / EE-527 / Winter 2013

Sputtering Yield Versus Angle of IncidenceAr ions at 1050 eVFigure from D. N. Ruzic, Handbook of Plasma Processing Technology, 1990.R. B. Darling / EE-527 / Winter 2013

Ion Milling Uses a broad-beam Kaufman-type ion source which canseparate the energizing plasma from the substrates.– Can reduce plasma damage. Allows a more intense plasmato be used as the source, e.g. an inductively coupled source.– Can increase the milling etch rate. Higher accelerationenergies can be achieved.– Requires a neutralization system to dissipate the charge thatis accumulated on the substrates. Substrates generally needto be conducting.– High milling rates can produce significant heating of thesubstrate. The substrate holder is normally liquid cooled. Ion milling is fairly anisotropic and is not particularlysensitive to the type of substrate material.R. B. Darling / EE-527 / Winter 2013

Veeco Micro-Etch ME601 Ion Mill SystemFigure from Veeco ME601 User’s Manual.R. B. Darling / EE-527 / Winter 2013

Veeco Micro-Etch ME601 Ion Mill System Uses a 10 cm Kaufman ion source (Ar ); handles 4-inch wafers.Figure from Veeco ME601 User’s Manual.R. B. Darling / EE-527 / Winter 2013

Reactive Ion Etching (RIE) RIE combines chemical etching reactions with physicalion bombardment. The ionizing gas is no longer inert. It has been found that the combination of chemicaletching and physical bombardment produces etch ratesthat are much greater than just the sum of the twoprocesses. The two processes accelerate each other. Etchant gases:––––––Halogens: F2, Cl2, Br2Halocarbons: CF4, CF2Cl2, CF3Cl, CCl4, C2F6, C3F8, CHF3,Other halogen carriers: SF6, NF3, BCl3Oxidizer: O2Reducer: H2Inert: Ar, HeR. B. Darling / EE-527 / Winter 2013

Silicon – Fluorine Chemistry A fluorine source, such as SF6 or CF4 can be cracked by theplasma to produce F radicals. The F radicals will preferentially bind to exposed Si atoms,displacing other atoms sitting on these sites. Once 4 F radicals have saturated the available bonds of a Siatom, the SiF4 will desorb as a volatile species. Bond energies: (ΔH )–––––Si-Si: 52 kcal/mole (energy to break a bond in single crystal Si)F-F: 36.6 kcal/mole (energy to break a bond in F2)S-F: 68 kcal/mole (energy to break a bond in SF6)C-F: 116 kcal/mole (energy to break a bond in CF4)Si-F: 135 kcal/mole (energy supplied by creating a bond in SiF4) F2 and SF6 will etch Si with no additional supplied energy. CF4 will etch Si, but requires a little additional energy.R. B. Darling / EE-527 / Winter 2013

Silicon – Chlorine Chemistry Analogous to fluorocarbons, chlorocarbons can be cracked bythe plasma, producing Cl radicals, which can then combine withSi to form SiCl4, which is volatile and desorbs from the etchedsurface. Bond energies: (ΔH )––––Si-Si: 52 kcal/mole (energy to break a bond in single crystal Si)Cl-Cl: 58 kcal/mole (energy to break a bond in Cl2)C-Cl: 81 kcal/mole (energy to break a bond in CCl4)Si-Cl: 90 kcal/mole (energy supplied by creating a bond in SiCl4) Chlorine etching always requires additional energy from theplasma, so it is always anisotropic.R. B. Darling / EE-527 / Winter 2013

Gas Feedstock Rules of Thumb For etching Si:– Fluorines are natively isotropic. Adding oxygen makes them increasinglyanisotropic.– Chlorines are natively anisotropic. Oxygen will ash most organic films, such as photoresist residue,producing CO2 and H2O. Don’t add oxygen if a fluorocarbonsidewall passivation is desired, as the O2 will remove it. Hydrogen will create HF with a fluorine chemistry and produceetching of SiO2, often preferentially to that of Si. This can beuseful for sidewall passivation to achieve higher anisotropy, andfor achieving greater Si/SiO2 etch selectivity. Argon will not affect the chemistry, but can be added whenadditional ion bombardment is needed. This makes most etchesmore anisotropic, but dilutes the reacting species.R. B. Darling / EE-527 / Winter 2013

Plasma Etching Effects – Loading The etch rate decreases when the exposed area to be etchedincreases. This is usually caused by depletion of the feedstock gas and/orbuild-up of reaction products. R R0 / (1 kA)– R0 etch rate for nominally zero etch area (an asymptote).– K a constant for a given reaction chamber.– A exposed area to be etched. Plasma loading occurs for both the overall set of wafers in thechamber, as well as locally within the mask pattern of a givendie. Design rules for mask layout are often developed to make alletch features identical to avoid local loading.– Exact size vias and contacts are common.– Via and contact density rules are also common.R. B. Darling / EE-527 / Winter 2013

Plasma Etching Effects – TrenchingresistsubstrateTrenching of substrate occurs adjacent to resist edges.R. B. Darling / EE-527 / Winter 2013

Plasma Etching Effects – RedepositionresistsubstrateRedeposition of sputtered resist occurs adjacent to resist edges.R. B. Darling / EE-527 / Winter 2013

Sidewall Polymerization – 1 Most RIE processes exhibit some degree of sidewall passivation. Sidewalls receive less ion bombardment which can allowpassivation reactions to dominate over etching. The bottom of a trench receives maximum ion bombardmentwhich prevents the passivation layer from building up. Example: SF6 / O2: SF6 is normally almost isotropic, butdilution with O2 allows SiO2 to form on sidewalls whichpassivates further sidewall etching. More O2 makes the etchincreasingly anisotropic. Example: CF4 / H2: Fluorocarbons can etch or polymerizedepending upon the F/C ratio: F/C 3 gives etching, F/C 2gives polymerization. Adding H2 forms HF which can etchoxides, giving Si/SiO2 selectivity. Forming HF also reduces theavailable F , so polymerization is enhanced. CHF3 is also usedfor achieving this.R. B. Darling / EE-527 / Winter 2013

Sidewall Polymerization – 2 Fluorocarbon RIE usually creates a –CF2– polymer on thesidewalls, similar to Teflon PTFE. The chemical inertness of this polymer can be useful forsubsequent process steps, but this also makes its removaldifficult. Oxygen plasma ashing is usually needed for removal. For low to moderate trench aspect ratios (depth : width), thispolymerization can produce nearly vertical RIE sidewalls. For deeper trenches, it becomes increasingly difficult to keep thesidewalls shielded from bombardment from glancing angle ions,and the polymer layer is eroded as fast as it is created. Single chemistry RIE reaches a limiting aspect ratio of aroundunity for near vertical sidewall profiles. A solution is to use a two-chemistry / two-phase approach.– This is the basis for DRIE.R. B. Darling / EE-527 / Winter 2013

RIE ChemistriesMaterialRIE GasesRemarksSiCF4/O2, SF6, NF3Nearly isotropicSiCl2, BCl3, CCl4Anisotropic, masked by SiO2SiHBr, CF3BrAnisotropicSiO2F2/H2, CHF3/C2F6, CHF3/CO2Minimal etching of SiSi3N4CF4, CHF3, SF6, NF3TiSi2CCl2F2, CCl4WSi2CF4/O2, SF6WCF4/O2, SF6AlCl2, BCl3, CCl4, SiCl4Removes native oxidesAl(Cu)Cl2, BCl3, CCl4, SiCl4Removes native oxidesPolymersO2, O2/CF4“Ashing”Control of oxygen impurityData from G. S. Oehrlein, Handbook of Plasma Processing Technology, 1990.R. B. Darling / EE-527 / Winter 2013

Example System: Drytek DRIE 100 This was one of the first systems to handle multiple wafers forplanar plasma processing, designed mainly for RIE processing. The system shown below is in the Stanford Center for IntegratedSystems (CIS).Although its model number isDRIE 100, this system is NOTa deep reactive ion etcher.Photo from Stanford NanoFabrication Facility.R. B. Darling / EE-527 / Winter 2013

Deep Reactive Ion Etching (DRIE) This is one of the few process tools that was developedspecifically for MEMS applications. Lärmer and Schilp (Bosch) Deutsch patent of 1994:– Alternate between etching and polymer deposition. (2 phases)– Etching phase removes the polymer on the bottom of the trench.– Polymerization phase protects the sidewalls from etching. Etching phase:– SF6 / Ar used with -5 to -30 V of substrate bias to produce nearly verticalincident ions. This creates an anisotropic SF6 etch without needing O2. Polymerization phase:– CHF3 or C4H8 / SF6 used. The sidewall polymer is –CF2– , teflon-like. Can obtain nearly vertical sidewalls with 30:1 aspect ratios. Sidewalls have a characteristic scalloping that corresponds toeach cycle of the etching / polymerization phases.R. B. Darling / EE-527 / Winter 2013

DRIE ProcessMask LayerSilicon SubstrateSF6 / Ar ETCHMask LayerSilicon SubstrateCHF3 / H2 POLYMERIZATIONMask LayerSilicon SubstrateSF6 / Ar ETCHMask LayerSilicon SubstrateR. B. Darling / EE-527 / Winter 2013

DRIE Examples Commercial equipment is produced by STS, Plasma-Therm,Oxford Instruments, and Trion.20µmSTS ‘99Klaassen et al.‘95 (Stanford)R. B. Darling / EE-527 / Winter 2013

Oxford Instruments PlasmaPro 100 DRIE SystemPhoto from Oxford Instruments.R. B. Darling / EE-527 / Winter 2013

Plasma Etching Plasma etching involves physical bombardment of the substrate by an ion which is nominally inert. – Ar is the most common gas used for this. The impact (momentum transfer) from accelerated Ar ions knocks loose substrate ions, called sputter etching or simply plasma etching.

Related Documents:

Etching is a process of removing material from the substrate’s surface. In general, there are two categories that etching can be divided into dry etching, and wet etching. The focus of this section will be solely on dry etching. Wet etching, a process where the substrate is submerged

etching is usually faster than the rates for many dry etching processes and can easily be changed by varying temperature or the concentration of active species. Wet Etch Synonyms: chemical etching, liquid etching Definition: Wet etching is a material removal process that uses liquid chemicals or etchants to remove materials from a wafer.

After etching, line width and the length only for the tail along the circuit was measured to obtain etching factor. Etching factor was defined as shown in Figure 3. From the etching test results, etching factor improved when matte side surface roughness decreased. Flatter foil seemed to be better to create narrower traces.

Plasma Etching Page 2 OUTLINE Introduction Plasma Etching Metrics – Isotropic, Anisotropic, Selectivity, Aspect Ratio, Etch Bias Plasma and Wet Etch Summary The Plasma State - Plasma composition, DC & RF Plasma Plasma Etching Processes - The principle of plasma etching, Etching Si and SiO2 with CF4

Al etching start and thus to diff erent etching depths or times (Fig. 118). The formation of hydrogen in the etching reaction is also problematic for a homogeneous etching result. The constantly produced H 2 bubbles stick to the surface and block the etching process through a sup

Wet Etching vs Dry Etching In wet etchants, the etch reactants come form a liquid source In dry etchants, the etch reactants come form a gas or vapor phase source and are typically ionized-Atoms or ions from the gas are the reactive species that etch the exposed film Selectivity : In general, dry etching has less selectivity than wet .

Dry plasma etching has become the dominant patterning technique for the group-III nitrides, due to the shortcom-ings in wet chemical etching. Plasma etching proceeds by either physical sputtering, chemical reaction, or a combination of the two often referred to as ion-assisted plasma etching, Physical sputtering is dominated by the

Review: GaAs etching overview; wet and dry etching; Ref. (Ashby, C.I.H., 1990a) Review: InP wet chemical etching; with (1) defect or damage revealing etchant table, (2) polishing etchant table, and (3) pattern etchant table; Ref. (Adachi, S., 1990b) Review: wet and dry chemical etching of GaAs; classifies wet etchants as non-electrolyte (those with