Synthesis Methodology Guide

2y ago
60 Views
7 Downloads
1.04 MB
149 Pages
Last View : 20d ago
Last Download : 3m ago
Upload by : Louie Bolen
Transcription

Synopsys Synthesis Methodology GuideUNIX Environments

Actel Corporation, Sunnyvale, CA 94086 1998 Actel Corporation. All rights reserved.Printed in the United States of AmericaPart Number: 5579009-2Release: April 1999No part of this document may be copied or reproduced in any form or byany means without prior written consent of Actel Corporation.Actel makes no warranties with respect to this documentation and disclaimsany implied warranties of merchantability or fitness for a particular purpose. Information in this document is subject to change without notice.Actel assumes no responsibility for any errors that may appear in thisdocument.This document contains confidential proprietary information that is not tobe disclosed to any unauthorized person without prior written consentfrom Actel Corporation.TrademarksActel and the Actel logotype are registered trademarks ofActel Corporation.Adobe and Acrobat Reader are registered trademarks ofAdobe Systems, Inc.Cadence is a registered trademark of Cadence Design Systems, Inc.Mentor Graphics is registered trademark of Mentor Graphics, Inc.Synopsys, Design Compiler, VHDL Compiler, HDL Compiler, and LibraryCompiler are trademarks or registered trademarks of Synopsys, Inc.UNIX is a registered trademark of X/Open Company Limited.Verilog is a registered trademark of Open Verilog International.Viewlogic is a registered trademark and MOTIVE is a trademark ofViewlogic Systems, Inc.All other products or brand names mentioned are trademarks or registeredtrademarks of their respective holders.ii

Table of ContentsIntroduction . . . . . . . . . . . . . . . . . . . . . . . xiDocument Organization . .Document Assumptions . .Document Conventions . .HDL Keywords and NamingActel Manuals . . . . . . .On-Line Help . . . . . . .1. . . . . . . . . . . . . . . . . . .Conventions . . . . . . . . . . . . . xi. xii. xii. xiii. xvxviiiSetup . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1Software Requirements . . . . . . . . . . . . . . . . . . . . 1System Setup . . . . . . . . . . . . . . . . . . . . . . . . . 1User Setup . . . . . . . . . . . . . . . . . . . . . . . . . . 22Actel-Synopsys Design Flow . . . . . . . . . . . . . . . 7Design Flow Illustrated . . . . . . . . . . . . . . . . . . . . 7Design Flow Overview . . . . . . . . . . . . . . . . . . . . 83Actel-Synopsys Coding Considerations . . .Multiplexer Encoding . . . . . . . . . . . . . . .Finite State Machine Design . . . . . . . . . . . .DesignWare Module Coding . . . . . . . . . . . .4Synthesis Constraints . . . . . . . . . . . . . . . . . . 51Operating Conditions . . . . . . . .Design Constraints. . . . . . . . . .Design Hierarchy . . . . . . . . . .Internal Tri-State. . . . . . . . . . .Inferring Buffers . . . . . . . . . . .Reducing the Maximum Fanout ValueRegister Type Preferences . . . . . .Avoid Using Certain Cells . . . . . .Register Balancing . . . . . . . . . .Removing Attributes . . . . . . . . .1111243151515559606162626264iii

Table of ContentsUsing (Q)CLKINT . . . . . . . . . . . . . . . . . . . . . . 64Wide Decode Cells in 3200DX and 42MX . . . . . . . . . . . 655Actel-Synopsys Design Considerations . . . . .Compiling Designs with DesignWare Components . .Translating Designs from Other Technologies . . . . .Translating a Design from one Actel family to anotherTranslating Timing Constraints into Designer . . . . .Assigning Pins in Synopsys. . . . . . . . . . . . . .Using ACTmap to Optimize I/O Placement . . . . . .Bus Array Syntax . . . . . . . . . . . . . . . . . .Script Mode Place and Route . . . . . . . . . . . . .Control Flow Commands. . . . . . . . . . . . . . .Complex Act 3 I/O Mapping . . . . . . . . . . . . .Instantiating ACTgen Macros . . . . . . . . . . . . .Generating an EDIF Netlist . . . . . . . . . . . . . .Generating a Structural HDL Netlist . . . . . . . . . .Designing for Radiation Environments . . . . . . . .Maintaining Technology Independence . . . . . . . .67676869707070717172738185868787A Synthesis Library Information . . . . . . . . . . . . . 89Timing Parameters. . . . . . . . . .Attributes . . . . . . . . . . . . . .Max Fanout . . . . . . . . . . . . .ACT 3 Specific Information. . . . . .54SX Specific Information . . . . . .Additional Information . . . . . . . .Synthesis Library Operating Conditions.89899394949596B DesignWare Library Information . . . . . . . . . . . . 99DesignWare Library Description . . . . . . . . . . . . . . . 99DesignWare Library Adders . . . . . . . . . . . . . . . . . 100DesignWare Library Subtractors . . . . . . . . . . . . . . . 101iv

Table of ContentsDesignWare Library Comparators .DesignWare Library Counters . .DesignWare Library Incrementer .DesignWare Library Decrementer.Improving Compilation Time. . .Module Count and Performance . 102. 103. 104. 105. 106. 106C Common Problems . . . . . . . . . . . . . . . . . . . 117Library Errors . . . . .Inferring DesignWare .Internal Tri-State. . . .Multiplexer Inferencing. 117. 118. 118. 119D Product Support . . . . . . . . . . . . . . . . . . . . . 121Actel U.S. Toll-Free Line . . . . . .Customer Service . . . . . . . . .Customer Applications Center . . .Guru Automated Technical SupportWeb Site . . . . . . . . . . . . .FTP Site. . . . . . . . . . . . . .Electronic Mail . . . . . . . . . .Worldwide Sales Offices . . . . . . 121. 121. 122. 122. 122. 123. 123. 124Index . . . . . . . . . . . . . . . . . . . . . . . . . . . 125v

List of FiguresDesignWare Libraries Directory Structure . . . . . . . .Synthesis Libraries Directory Structure . . . . . . . . .Actel-Synopsys Design Flow . . . . . . . . . . . . . .Multiplexer Diagram . . . . . . . . . . . . . . . . .FSM Diagram . . . . . . . . . . . . . . . . . . . . .Compile-Characterize-Recompile Methodology DiagramSchematic Before Register Balancing . . . . . . . . . .Schematic after Register Balancing . . . . . . . . . . .ACT 3 I/O Macros Directory Structure . . . . . . . . .IOPCLBUF and IOCLKBUF driven sequential cells . . .Compilation Results . . . . . . . . . . . . . . . . . .Script Execution Results . . . . . . . . . . . . . . . .“CLK” Pad Driving Sequential I/O Cells and Other LogicCorrected Design After Script Implementation . . . . .Sequential I/O Cell to ACT 3 I/O Cell Link . . . . . . .ACTgen Generated 32 x 32 bit Dual Port RAM . . . . .ACTgen Generated 32 x 32 bit FIFO . . . . . . . . . .DesignWare Adder Symbol . . . . . . . . . . . . . .DesignWare Subtractor Symbol . . . . . . . . . . . .DesignWare Comparator Symbol . . . . . . . . . . .DesignWare Counter Symbol . . . . . . . . . . . . .DesignWare Incrementer Symbol . . . . . . . . . . .DesignWare Decrementer Symbol . . . . . . . . . . .Adder Module Count . . . . . . . . . . . . . . . . .Adder Logic Level . . . . . . . . . . . . . . . . . . .54SX Adder Module Count . . . . . . . . . . . . . .54SX Adder Logic Level . . . . . . . . . . . . . . . .Subtractor Module Count . . . . . . . . . . . . . . .Subtractor Logic Level . . . . . . . . . . . . . . . . .54SX Subtractor Module Count . . . . . . . . . . . .54SX Subtractor Logic Level . . . . . . . . . . . . . .Comparator Module Count . . . . . . . . . . . . . . .4. .5. .7. 13. 24. 57. 62. 63. 74. 74. 76. 77. 77. 78. 79. 83. 84. 100. 101. 102. 103. 104. 105. 107. 108. 108. 109. 110. 110. 111. 111. 112vii

List of FiguresComparator Logic Levels .Counter Module Count . .Counter Logic Levels . . .Incrementer Module CountIncrementer Logic Levels .Decrementer Module CountDecrementer Logic Levels .viii. 112. 113. 113. 114. 114. 115. 115

List of TablesFSM Table . . . . . . . . . . . . . . . . . . . .Sequential Input Cells Available for Synthesis . . . .Sequential Output Cells Available for Synthesis . .ACT 1/40MX “dont touch” and “dont use” Macros .ACT 2/1200XL “dont touch” and “dont use” Macros3200DX/42MX “dont touch” and “dont use” MacrosACT 3 “dont touch” and “dont use” Macros . . . .54SX “dont touch” and “dont use” Macros . . . . .Macros that Cannot be Connected to HCLKBUF . .Default Operating Conditions . . . . . . . . . . .Synthesis Library Operating Conditions . . . . . .Supported Modules . . . . . . . . . . . . . . . .Adder Pin Description . . . . . . . . . . . . . . .Subtractor Pin Description . . . . . . . . . . . . .Comparator Pin Description . . . . . . . . . . . .Counter Pin Description . . . . . . . . . . . . . .Counter Operation Truth Table . . . . . . . . . .Incrementer Pin Description . . . . . . . . . . . .Decrementer Pin Description . . . . . . . . . . . 24. 75. 75. 90. 90. 91. 91. 93. 94. 96. 97. 99. 100. 101. 102. 103. 103. 104. 105ix

IntroductionThe Synopsys Synthesis Methodology Guide contains information aboutusing Synopsys UNIX synthesis tools with the Actel Designer SeriesFPGA development software to create designs for Actel devices. Referto the Designing with Actel manual for additional information aboutusing the Designer series software and the Synopsys documentationfor additional information about using Synopsys software.Document OrganizationThe Synopsys Synthesis Methodology Guide is divided into the followingchapters:Chapter 1 - Setup contains information and procedures about settingup Synopsys software for use in creating Actel designs.Chapter 2 - Actel-Synopsys Design Flow illustrates and describesthe design flow for creating Actel designs using Synopsys and DesignerSeries software.Chapter 3 - Actel-Synopsys Coding Considerations describes ActelSynopsys specific HDL coding techniques.Chapter 4 - Synthesis Constraints contains descriptions, examples,and procedures for using design constraints on Actel designs.Chapter 5 - Actel-Synopsys Design Considerations containsinformation and procedures to assist you in creating Actel designs withSynopsys and Designer Series software.Appendix A - Synthesis Library Information describes the featuresof the Actel synthesis libraries available for use in design synthesis.Appendix B - DesignWare Library Information describes thefeatures of the Actel DesignWare libraries available for use in designsynthesis.Appendix C - Common Problems describes problems that mayoccur during synthesis and the solution to the problem.Appendix D - Product Support provides information aboutcontacting Actel for customer and technical support.xi

IntroductionDocument AssumptionsThe information in this manual is based on the following assumptions:1.You have installed the Designer Series software.2.You have installed the Synopsys software.3.You are familiar with UNIX workstations and operating systems.4.You are familiar with FPGA architecture and FPGA design software.Document ConventionsThe following conventions are used throughout this manual.Information that is meant to be input by the user is formatted asfollows:keyboard inputThe contents of a file is formatted as follows:file contentsHDL code appear as follows, with HDL keywords in bold:entity actel isport (a: in bit;y: out bit);end actel;Messages that are displayed on the screen appear as follows:Screen Messagexii

IntroductionThe act fam variable represents Actel device family librarydirectories and files. To reference an actual family library directory orfile, substitute the actual name of the family when you see thisvariable. Available families are act1, act2 (for ACT 2 and 1200XLdevices), act3, 3200dx, 40mx, 42mx, and 54sx.HDL Keywords and Naming ConventionsThere are naming conventions you must follow when writing Verilogor VHDL code. Additionally, Verilog and VHDL have reserved wordsthat cannot be used for signal or entity names. This section lists thenaming conventions and reserved keywords for each.VHDLThe following naming conventions apply to VHDL designs: VHDL is not case sensitive. Two dashes “--” are used to begin comment lines. Names can use alphanumeric characters and the underscore “ ”character. Names must begin with an alphabetic letter. You may not use two underscores in a row, or use an underscore asthe last character in the name. Spaces are not allowed within names. Object names must be unique. For example, you cannot have asignal named A and a bus named A(7 downto 0).xiii

IntroductionThe following is a list of the VHDL reserved keywords that cannot beused in your withxnorxorThe following naming conventions apply to Verilog HDL designs: Verilog is case sensitive. Two slashes “//” are used to begin single line comments. A slash andasterisk “/*” are used to begin a multiple line comment and anasterisk and slash “*/” are used to end a multiple line comment. Names can use alphanumeric characters, the underscore “ ”character, and the dollar “ ” character. Names must begin with an alphabetic letter or the underscore. Spaces are not allowed within names.xiv

IntroductionThe following is a list of the Verilog reserved keywords that cannot beused in your tributejoinremostaskendcaselargerealtimeActel ManualsThe Designer Series software includes printed and on-line manuals.The on-line manuals are in PDF format on the CD-ROM in the “/manuals” directory. These manuals are also installed onto your systemwhen you install the Designer software. To view the on-line manuals,you must install Adobe Acrobat Reader from the CD-Rom.xv

IntroductionThe Designer Series includes the following manuals, which provideadditional information on designing Actel FPGAs:Designing with Actel. This manual describes the design flow and userinterface for the Actel Designer Series software, including informationabout using the ACTgen Macro Builder and ACTmap VHDL Synthesissoftware.Actel HDL Coding Style Guide. This guide provides preferred codingstyles for the Actel architecture and information about optimizing yourHDL code for Actel devices.ACTmap VHDL Synthesis Methodology Guide. This guide containsinformation, optimization techniques, and procedures to assistdesigners in the design of Actel devices using ACTmap VHDL.Silicon Expert User’s Guide. This guide contains information andprocedures to assist designers in the use of Actel’s Silicon Expert tool.DeskTOP Interface Guide. This guide contains information about usingthe integrated VeriBest and Synplicity CAE software tools with theActel Designer Series FPGA development tools to create designs forActel Devices.Cadence Interface Guide. This guide contains information andprocedures to assist designers in the design of Actel devices usingCadence CAE software and the Designer Series software.Mentor Graphics Interface Guide. This guide contains informationand procedures to assist designers in the design of Actel devices usingMentor Graphics CAE software and the Designer Series software.MOTIVE Static Timing Analysis Interface Guide. This guide containsinformation and procedures to assist designers in the use of theMOTIVE software to perform static timing analysis on Actel designs.Synopsys Synthesis Methodology Guide. This guide contains preferredHDL coding styles and information and procedures to assist designersin the design of Actel devices using Synopsys CAE software and theDesigner Series software.Viewlogic Powerview Interface Guide. This guide containsinformation and procedures to assist designers in the design of Actelxvi

Introductiondevices using Powerview CAE software and the Designer Seriessoftware.Viewlogic Workview Office Interface Guide. This guide containsinformation and procedures to assist designers in the design of Acteldevices using Workview Office CAE software and the Designer Seriessoftware.VHDL Vital Simulation Guide. This guide contains information andprocedures to assist designers in simulating Actel designs using a Vitalcompliant VHDL simulator.Verilog Simulation Guide. This guide contains information andprocedures to assist designers in simulating Actel designs using aVerilog simulator.Activator and APS Programming System Installation and User’s Guide.This guide contains information about how to program and debugActel devices, including information about using the Silicon Explorerdiagnostic tool for system verification.Silicon Sculptor User’s Guide. This guide contains information abouthow to program Actel devices using the Silicon Sculptor software anddevice programmer.Silicon Explorer Quick Start. This guide contains information aboutconnecting the Silicon Explorer diagnostic tool and using it to performsystem verification.Designer Series Development System Conversion Guide UNIX Environments. This guide describes how to convert designs created inDesigner Series versions 3.0 and 3.1 for UNIX to be compatible withlater versions of Designer Series.Designer Series Development System Conversion Guide WindowsEnvironments. This guide describes how to convert designs created inDesigner Series versions 3.0 and 3.1 for Windows to be compatiblewith later versions of Designer Series.Actel FPGA Data Book. This guide contains detailed specifications onActel device families. Information such as propagation delays, devicepackage pinout, derating factors, and power calculations are found inthis guide.xvii

IntroductionMacro Library Guide. This guide provides descriptions of Actel libraryelements for Actel device families. Symbols, truth tables, and modulecount are included for all macros.A Guide to ACTgen Macros. This Guide provides descriptions ofmacros that can be generated using the Actel ACTgen Macro Buildersoftware.On-Line HelpThe Designer Series software comes with on-line help. On-line helpspecific to each software tool is available in Designer, ACTgen,ACTmap, Silicon Expert, Silicon Explorer, Silicon Sculptor, and APSW.xviii

1SetupThis chapter contains information about setting up UNIX Synopsystools to create Actel designs. This includes setting environmentvariables and information about setting up a system to access the Actelmacro and synthesis libraries. Refer to the Synopsys documentation foradditional information about setting up Synopsys tools.Software RequirementsThe information in this guide applies to the Actel Designer Seriessoftware release R1-1999 or later and Synopsys DC Compiler and FPGACompiler. For specific information about which versions are supportedwith this release, go to the Guru automated technical support systemon the Actel Web site (http://www.actel.com/guru) and type thefollowing in the Keyword box:third partySystem SetupAfter installing Synopsys, make sure the proper environment variablesare set in your UNIX shell script. The following are C shell variables. Ifyou are using another shell, adjust the syntax accordingly.setenv SYNOPSYS synopsys install directory source SYNOPSYS/admin/install/sim/bin/environ.cshsetenv ALSDIR actel install directory setenv ACT SYNOPDIR ALSDIR/lib/synopset path ( ALSDIR/bin path)set path ( SYNOPSYS/ operating system /syn/bin path)Replace the operating system variable in the “set path” line with“sparc” if you use SunOS, “sparcOS5” if you use Solaris, or “hp700” ifyou use HP-UX.If you use SunOS or Solaris, the following variable must also be set:setenv LD LIBRARY PATH ALSDIR/libIf you use HP-UX, the following variable must also be set:setenv SHLIB PATH ALSDIR/lib1

Chapter 1: SetupRefer to the Designing with Actel manual and the Synopsysdocumentation for additional information about setting environmentvariables.User SetupIf you use Actel macros or synthesis libraries when creating designs inSynopsys, you must setup your system to access them. This sectiondescribes how to access Actel DesignWare and synthesis libraries.ReanalyzingDesignWareLibrariesBefore creating a design in Synopsys, you must reanalyze theencrypted DesignWare and simulation libraries to achieve compatibilitywith your version of Synopsys. During reanalysis, the existingDesignWare libraries are overwritten. If you wish to retain the oldlibraries for use with earlier Synopsys versions, copy the “ ALSDIR/lib/synop” tree to a new location before you reanalyze the libraries.To reanalyze all installed Actel DesignWare libraries:1. Acquire write permission.2. Go to the “scripts” directory. Type the following command at theprompt:cd ACT SYNOPDIR/scripts3. Reanalyze the DesignWare libraries. Type:update all dwTo reanalyze a specific Actel family DesignWare library:1. Acquire write permission.2. Go to the “scripts/ act fam ” directory. Type the followingcommand at the prompt:cd ACT SYNOPDIR/scripts/ act fam 2

User Setup3. Reanalyze the DesignWare library. Type the followingcommand at the prompt:update dwactAccessingDesignWareLibrariesTo access the DesignWare libraries, set the search path in the“.synopsys dc.setup” file to include the “actsetup.scr” file of the Acteldevice family you want to access and include the “DWACT” library andcomponent package in your VHDL description each time you infer orinstantiate a synthetic component from the DesignWare libraries. Addthe following lines to the “.synopsys dc.setup” file to access the“actsetup.scr” file:script lib get unix variable (“ACT SYNOPDIR”)include script lib /scripts/ act fam /actsetup.scrAdd the following lines to your VHDL description to include the“DWACT” library and component package each time you infer orinstantiate a synthetic component from the DesignWare libraries:library dwact;use dwact.dwact components.all;3

Chapter 1: SetupFigure 1-1 shows the directory structure for the DW libraries1. ACTSYNOPDIRsyntutorialscriptsact components.vhdCOMPONENTS.SIMCOMPONENTS.SYN act fam DWACT *.SimdwactCOMPONENTS.vhddwact.sldbDWACT *.MraDWACT *.SyndwactFigure 1-1. DesignWare Libraries Directory StructureThe “dwact.sldb” file is a compiled description of the Actel syntheticlibraries and “DWACT COMPONENTS.syn” is a “compile package”file. An ASCII version of the package file can also be found in the samedirectory. Refer to “DesignWare Module Coding” on page 31 forinformation about using the DesignWare library modules.1. DesignWare libraries are not available for ACT 1 and 40MX devices.4

User SetupAccessingSynthesisLibrariesTo access the synthesis libraries, set the search path in the“.synopsys dc.setup” file to include the “actsetup.scr” file of the Acteldevice family you want to access. Add the following lines to the“.synopsys dc.setup” file to access the “actsetup.scr” file:actlib get unix variable (“ACT SYNOPDIR”)include actlib /scripts/ act fam /actsetup.scrNote: To target the 1200XL family, synthesize using the ACT 2 libraryand use the “XL” operating conditions for timing. Refer to“Synthesis Library Operating Conditions” on page 96 foradditional information.Figure 1-2 shows the directory structure for the Synthesis libraries. ACTSYNOPDIRsyntutorialscripts act fam act.dbactsym.sdbFigure 1-2. Synthesis Libraries Directory StructureTo verify the library version:Type the following command at the prompt: ACT SYNOPDIR/scripts/version5

2Actel-Synopsys Design FlowThis chapter illustrates and describes the design flow for creating Acteldesigns using Synopsys tools and the Designer Series software.Design Flow IllustratedFigure 2-1 illustrates the design flow for creating an Actel device usingSynopsys Designer Series software1.Design Creation/VerificationBehavioralHDLACT3I/O LibraryDWLibrarySimulation ToolSynopsysACTgenMacro BuilderNetlist stDesign ImplementationSDFFileCompileDT EditDT rammingAPS SoftwareActivator 2/2s ProgrammerSilicon SculptorSMS SprintSystem GeneralData I/OBP MicrosystemsActelDeviceSystem VerificationSilicon ExplorerFigure 2-1. Actel-Synopsys Design Flow1. Actel-specific utilities/tools are denoted by the grey boxes in Figure 2-1.7

Chapter 2: Actel-Synopsys Design FlowDesign Flow OverviewThe Actel-Synopsys design flow has four main steps; design creation/verification, design implementation, programming, and systemverification. These steps are described in the following sections.DesignCreation/VerificationDuring design creation/verification, a design is captured in an RTLlevel (behavioral) HDL source file. After capturing the design, abehavioral simulation of the HDL file can be performed to verify thatthe HDL code is correct. The code is then synthesized into an Actelgate-level (structural) netlist. After synthesis, a structural simulation ofthe design can be performed. Finally, an EDIF netlist is generated forimport into Designer from which an HDL structural netlist is generatedfor structural and timing simulation.HDL Design Source EntryEnter your HDL design source using a text editor or a context-sensitiveHDL editor. Your HDL design source can contain RTL-level constructs,as well as instantiations of structural elements, such as ACTgen macros.Behavioral SimulationYou may perform a behavioral simulation of your design beforesynthesis. Behavioral simulation verifies the functionality of your HDLcode. Typically, unit delays are used and a standard HDL test benchcan be used to drive simulation. Refer to the VHDL VITAL SimulationGuide or Verilog Simulation Guide for information about performingbehavioral simulation.SynthesisAfter you have created your HDL design source, you must synthesize itbefore placing and routing it in Designer. Synthesis transforms thebehavioral HDL file into a gate-level netlist and optimizes the designfor a target technology. Refer to the Synopsys documentation forinformation about performing design synthesis.8

Design Flow OverviewEDIF Netlist GenerationAfter you have created, synthesized, and verified your design, youmust generate an EDIF netlist for place and route in Designer. ThisEDIF netlist is also used to generate a structural HDL netlist. Refer to“Generating an EDIF Netlist” on page 85 for information aboutgenerating an EDIF netlist.Structural HDL Netlist GenerationGenerate a structural HDL netlist from your EDIF netlist for use instructural and timing simulation by either exporting it from Designer orby using the Actel “edn2vlog” or “edn2vhdl” program. Refer to“Generating a Structural HDL Netlist” on page 86 for information aboutgenerating a structural netlist.Structural SimulationYou may perform a structural simulation of your design before placingand routing it. Structural simulation verifies the functionality of yourpost-synthesis structural HDL netlist. Default unit delays included inthe Actel libraries are used for every gate. Refer to the VHDL VITALSimulation Guide or Verilog Simulation Guide for information aboutperforming structural simulation.DesignImplementationDuring design implementation, a design is placed and routed usingDesigner. Additionally, static timing analysis can be performed on adesign in Designer with the DT Analyze tool. After place and route,post-layout (timing) simulation may be performed.Place and RouteUse Designer to place and route your design. Make sure to specifyGENERIC as the EDIF Flavor and Verilog or VHDL as the Naming Stylewhen importing the EDIF netlist into Designer. Refer to the Designingwith Actel manual for information about using Designer.Static Timing AnalysisUse the DT Analyze tool in Designer to perform static timing analysison your design. Refer to the Designing with Actel manual forinformation on using DT Analyze.9

Chapter 2: Actel-Synopsys Design FlowTiming SimulationYou may perform a timing simulation of your design after placing androuting it. Timing simulation requires timing information exportedfrom Designer, which overrides default unit delays in the Actellibraries. Refer to the Designing with Actel manual for informationabout exporting timing information from Designer. Refer to the VHDLVITAL Simulation Guide or Verilog Simulation Guide for informationabout performing structural simulation.ProgrammingProgram a device with programming software and hardware from Actelor a supported 3rd party programming system. Refer to the Designingwith Actel manual and the Activator and APS Programming SystemInstallation and User’s Guide or S

the design flow for creating Actel designs using Synopsys and Designer Series software. Chapter 3 - Actel-Synopsys Coding Considerations describes Actel-Synopsys specific HDL coding techniques. Chapter 4 - Synthesis Constraints contains descriptions, examples, and procedures for us

Related Documents:

Milli-Q Synthesis/Synthesis A10 1 Chapter 1 INTRODUCTION 1-1 USING THIS MANUAL MATCHING THIS MANUAL WITH YOUR MILLI-Q This manual is intended for use with a Millipore Milli-Q Synthesis or Milli-Q Synthesis A10 Water Purification System. This Owner s Manual is a guide for use during the in

and this is still in the form of a PID controller but now the settings are: 2Ip W p c W T p c p K K, W W, and W T 1 Dp. . Colorado School of Mines CHEN403 Direct Synthesis Controller Tuning Direct Synthesis - Direct Synthesis - Direct Synthesis - Colorado School of Mines CHEN403 Direct Synthesis Controller Tuning File Size: 822KB

Organic Synthesis What are the Essentials in Synthesis? 5 Since organic synthesis is applied organic chemistry, to stand a realistic chance of succeeding in any synthesis, the student ought to have a good knowledge-base of organic chemistry in the following areas: Protecting group chemistry Asymmetric synthesis

the advantages of microwave assisted synthesis in com-parison to conventional heating. First, we will discuss the microwave synthesis of 2-pyridones. In the second part, microwave assisted synthesis of 2-quionolones will be given. At the end of the review, examples of microwave synthesis of ring fused N-substituted 2-pyri-dones will be discussed.

Technical Terms ! Organic Synthesis-means the same as synthetic organic chemistry ! Total Synthesis: The chemical synthesis of a molecule from a relatively simpler starting materials ! Semisynthesis: the synthesis of

Reaction 9. Anschutz Anthracene Synthesis 10. Appel Reaction 11. Arndt-Eistert Synthesis 12. Aston-Greenburg Rearrangement 13. Aza-Claisen Rearrangement 14. Baeyer Indole Synthesis 15. Baeyer Oxindole Synthesis 16. Baeyer Pyridine Synthesis 17. Baeyer-Villiger Oxidation 18. Baltz-Schiemann Reac

3.1.2.9 Synthesis of Propoxylated Bisphenol A dimethacrylate 57 3.1.2.10 Purification of Propoxylated Bisphenol A dimethacrylate 60 3.1.2.11 Synthesis of Propoxylated 6F dimethacrylate 60 3.1.2.12 Synthesis of Ethoxylated Bisphenol A dimethacrylate 61 3.1.2.13 Synthesis of Ethoxyla

Multistep Synthesis: Synthesis of Piperine The independent project I chose to do is the synthesis of Piperine. The reason I choice this project was so that I could compare the results I obtained from the isolation of piperine, and see how both techniques compare to one another. The materials and procedure are