Cadence AMS Simulator User Guide

2y ago
27 Views
3 Downloads
1.27 MB
246 Pages
Last View : 21d ago
Last Download : 2m ago
Upload by : Ellie Forte
Transcription

Cadence AMS Simulator User GuideCadence AMS Simulator User GuideProduct Version 1.0September 2000 2000 Cadence Design Systems, Inc. All rights reserved.Printed in the United States of America.Cadence Design Systems, Inc., 555 River Oaks Parkway, San Jose, CA 95134, USATrademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in thisdocument are attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks,contact the corporate legal department at the address shown above or call 1-800-862-4522.All other trademarks are the property of their respective holders.Restricted Print Permission: This publication is protected by copyright and any unauthorized use of thispublication may violate copyright, trademark, and other laws. Except as specified in this permission statement,this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, ordistributed in any way, without prior written permission from Cadence. This statement grants you permission toprint one (1) hard copy of this publication subject to the following conditions:The publication may be used solely for personal, informational, and noncommercial purposes;The publication may not be modified in any way;Any copy of the publication or portion thereof must include all original copyright, trademark, and otherproprietary notices and this permission statement; andCadence reserves the right to revoke this authorization at any time, and any such use shall be discontinuedimmediately upon written notice from Cadence.Disclaimer: Information in this publication is subject to change without notice and does not represent acommitment on the part of Cadence. The information contained herein is the proprietary and confidentialinformation of Cadence or its licensors, and is supplied subject to, and may be used only by Cadence’s customerin accordance with, a written agreement between Cadence and its customer. Except as may be explicitly setforth in such agreement, Cadence does not make, and expressly disclaims, any representations or warrantiesas to the completeness, accuracy or usefulness of the information contained in this document. Cadence doesnot warrant that use of such information will not infringe any third party rights, nor does Cadence assume anyliability for damages or costs of any kind that may result from use of such information.Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth inFAR52.227-14 and DFAR252.227-7013 et seq. or its successor.September 20001Product Version 1.0

Cadence AMS Simulator User GuideContentsPreface . 11Related Documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11Typographic and Syntax Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121Getting Started with the AMS Simulator . . . . . . . . . . . . . . . . . . . . . . . 14Language Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Memory Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting Up Your Design Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Running the Cadence AMS Simulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Running ncverilog with a Single Step . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Running the Simulator Using Multiple Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Understanding the Simulator Library Databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Using a Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15151517212223242Running With the ncverilog Command . . . . . . . . . . . . . . . . . . . . . . . . . 26Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .How ncverilog Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncverilog Command Syntax and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncverilog Command Option Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .272930323Setting Up Your Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .The Library.Cell:View Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .The cds.lib File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .The Work Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .cds.lib Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .cds.lib Syntax Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 20002363637383940Product Version 1.0

Cadence AMS Simulator User GuideExample cds.lib File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Binding One Library to Multiple Directories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Directory Binding Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Debugging cds.lib Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .The hdl.var File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Syntax Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example hdl.var File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Debugging hdl.var Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .The setup.loc File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .setup.loc Syntax Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Directory Structure Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .424243434546475355555757584Instantiating Analog Masters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Using Spectre Built-In and Verilog-AMS Primitives . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Using Subcircuits and Models Written in SPICE or Spectre . . . . . . . . . . . . . . . . . . . . . .Creating an Analog Primitive Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .IPassing the Location of the Analog Primitive Table to the Compiler and Elaborator .Using Inline Subcircuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6363646465655Importing Verilog-AMS Modules into VHDL Modules . . . . . . . . 66Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Generating a Shell with ncshell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Steps to Follow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 200036767686869Product Version 1.0

Cadence AMS Simulator User Guide6Compiling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncvlog Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncvlog Command Options Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example ncvlog Command Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Conditionally Compiling Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Controlling the Compilation of Design Units into Library.Cell:View . . . . . . . . . . . . . . . . .7Elaborating73747677798080. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncelab Command Syntax and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncelab Command Options Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example ncelab Command Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .How Modules and UDPs Are Resolved During Elaboration . . . . . . . . . . . . . . . . . . . . . .Enabling Read, Write, or Connectivity Access to Digital Simulation Objects . . . . . . . . . .Selecting a Delay Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting Pulse Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8384909293949496968Specifying Controls for the Analog Solver . . . . . . . . . . . . . . . . . . . . . 97Language Mode (lang) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98Immediate Set Options (options) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98Initial Guess (nodeset) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101Transient Analysis (tran) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101Initial Conditions (ic) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103Displaying and Saving Information (info) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104where . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105extremes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105September 20004Product Version 1.0

Cadence AMS Simulator User Guidetitle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1069Simulating. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncsim Command Syntax and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ncsim Command Option Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example ncsim Command Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .hdl.var Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Running the Simulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Starting a Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Resetting and Reinvoking a Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating Design Changes When You Run the Simulator . . . . . . . . . . . . . . . . . . . . . . .Providing Interactive Commands from a File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Exiting the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10810911111211311311411511511611610Debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117Managing Databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Opening a Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Using a Single Database for Both Analog and Digital Waveforms . . . . . . . . . . . . . .Displaying Information About Databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Disabling a Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Enabling a Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Closing a Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting and Deleting Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Displaying Information About Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Disabling a Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Enabling a Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Deleting a Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Traversing the Model Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Condition Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Source Code Line Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 127128Product Version 1.0

Cadence AMS Simulator User GuideSetting an Object Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Time Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Delta Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Process Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Disabling, Enabling, Deleting, and Displaying Breakpoints . . . . . . . . . . . . . . . . . . . . . .Stepping Through Lines of Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Forcing and Releasing Signal Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Depositing Values to Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Displaying Information About Simulation Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Displaying the Drivers of Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Debugging Designs with Automatically-Inserted Connect Modules . . . . . . . . . . . . . . .Displaying Waveforms with Signalscan waves . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Creating a Database and Probing Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Opening a Database with shm open . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Probing Signals with shm probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Invoking Signalscan waves . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Converting SHM Databases to the Current Format . . . . . . . . . . . . . . . . . . . . . . . . .Comparing Databases with Comparescan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Converting Older SHM Databases to the Current Format . . . . . . . . . . . . . . . . . . . .September 139141141142Product Version 1.0

Cadence AMS Simulator User GuideDisplaying Debug Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting a Default Radix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting the Format for Branches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting the Format for Potential and Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Setting Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Editing a Source File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Searching for a Line Number in the Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Searching for a Text String in the Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Configuring Your Simulation Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Saving and Restoring Your Simulation Environment . . . . . . . . . . . . . . . . . . . . . . . . . . .Creating or Deleting an Alias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Getting a History of Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Managing Custom Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ing Techniques for the Analog Solver . . . . . . . . . . . . . . 153Adjusting Speed and Accuracy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Saving Time by Selecting a Continuation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Specifying Efficient Starting Points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Saving Time by Specifying State Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 20007154154154155Product Version 1.0

Cadence AMS Simulator User GuideAUpdating Legacy Libraries and Netlists . . . . . . . . . . . . . . . . . . . . . . . 160Updating Verilog-A Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating SpectreHDL Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating Libraries of Analog Masters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating Verilog Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating VHDL Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating Legacy Netlists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Updating Existing Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .160160160161161161161BTcl-Based Debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Specifying Unnamed Branch Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Example Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .List of Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .call . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .call Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .call Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .call Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .deposit Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .deposit Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .deposit Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .describe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .describe Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .describe Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .describe Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .drivers Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .drivers Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .drivers Command Report Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .drivers Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 174176176177177180Product Version 1.0

Cadence AMS Simulator User Guidefinish . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .finish Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .finish Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .finish Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .force . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .force Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .force Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .force Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .probe Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .probe Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .probe Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .release . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .release Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .release Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .release Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .reset Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .reset Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .reset Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .restart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .restart Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .restart Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .restart Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .run Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .run Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .run Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .save Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .save Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .save Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .scope Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .scope Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .scope Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .September 0214215215217Product Version 1.0

Cadence AMS Simulator User Guidestatus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .status Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .status Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .status Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .stop Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .stop Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .stop Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Tcl Expressions as Arguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .time Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .time Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .time Command Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .value Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .value Command Modifiers and Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Pound Sign (#) Value Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .value

Cadence AMS Simulator User Guide Preface September 2000 12 Product Version 1.0 Instance-Based View Switching Application Note Cadence Lbrary Manager User Guide Signalscan Waves User Guide Virtuoso Schematic Composer User Guide Verilog-AMS Language Reference Manual. Availa

Related Documents:

ams 6411 h * ams 6414 m ams 6415 u ams 6418 h * ams 6419 h ams 6450 j * ams 6457 d ams 6470 n * ams 6472 f * ams 6484 e ams 6487 l ams 6514 h * ams 6522 d ams 6526 h ams 6527 e ams 6528 c * ams 6532 f ams 6930 e * ams 6931 c * ams 6945 b * ams 7259 e ams 7276 h * ams 7720 a * ams 7725 e ams 7879 f ams 10133 b ams 10134 b

AMS 4037 AMS 4035 AMS-QQ-A-250/4 AMS-QQ-A-250/5 AMS 4120 AMS-QQ-A-225/6 AMS 4086 AMS-WW-T-700/3 AMS 4152 AMS 4164 AMS 4165 AMS-QQ-A-200/3 Bare sheet and plate Bare sheet and plate Bare sheet and plate Clad sheet and plate Bar and rod, rolled or cold-finished Rolled or drawn bar,

AMS-6415 E-4340 AMS-6416 “300M” (obsolete-use AMS-6419) AMS-6417 E-4340 Modified (Vacuum Melt.) AMS-6418 Hy-Tuf Gr. A & B AMS-6419 300M (Vacuum Melt.) AMS-6427 E-4330 Modified AMS-6431 D6AC (Vacuum Melt.) AMS-6440 E-52100 AMS-6444 E-52100 (Vacuum Melt.) AMS-6448 E-6150

4340 ams 6415, mil-s-5000 6150 ams 6448 8740 ams 6322 52100 ams 6440 alloy (vac-melt) specification h-11vm ams 6487 4330modvm ams 6411 4340m ams 6414 9310m ams 6265 52100vm ams 6444 aluminum nickel bronze material specifications qqc 00645b(1) c63000 astm b150 03 c63000 tq50 ams 4640 f96 tq50 ams 4640 f96 hr50

SAE 4340 SAE 4130 17 - 7 PH 17 - 4 PH AMS 5659 AMS 5528 AMS 5643 AMS 5604 0.010”- 1/4” 1/8”- 13.5” DIA 1/4” - 4” 0.05”- 0.20” 36" x 96" / 120" 12 feet or cut to your required lengths Cut to your required sizes 36" x 96" / 120" 12 feet or cut to your required lengths AMS-S-5000 AMS 6414 AMS 6415 AMS-S-5000 AMS 6414 AMS 6415 AMS .

1/19/16 Tue AMS-J-3 AMS-J-3-011916 NA 1,428 4,198 0.0010 1/20/16 Wed AMS-J-1 AMS-J-1-012016 NA 1,445 3,967 0.0019 1/20/16 Wed AMS-J-2 AMS-J-2-012016 NA 1,445 4,769 0.0010 SE, 5-10MPH . 1/20/16 Wed AMS-J-3 AMS-J-3-012016 NA 1,450 4,510 0.0009 1/21/16 Wed

4340 mod. "300m " bms 7 26, ams . a 286 ams 5732, astm a 453, grade 660 cl. b, ams 5737 e 36 d 33028, d 33000, astm a b753 e 42 d 33028, d 33000, astm a b753 172 ams 4851, ams 4533, ams 4534, astm b 196, astm b570, qqc 530 aermet 100 ams 6532, mil hdbk 5, mms 217, uns k92580

4 Palash Hindi Pathya Pustak 8 Rohan 5 Amrit Sanchey (H)(Premchand Stories) Saraswati 6 Gulmohar Hindi Vyakaran 8 Full Circle 7 Maths 8 NCERT 8 Maths (RS Aggarwal) 8 Bharti Bhawan 9 Science 8 NCERT 10 Activity Plus In Prac Science 7 Full Marks 11 History 8 NCERT 12 Geography 8 NCERT 13 Civics 8 NCERT 14 Maps (I Pol/10, W Pol/10)(20) 15 Oxford School Atlas (B/F) OUP 16 Cyber Beans 8 Kips 17 .