VLSI Fabrication Process - Asctbhopal

2y ago
29 Views
2 Downloads
558.57 KB
6 Pages
Last View : 11d ago
Last Download : 3m ago
Upload by : Madison Stoltz
Transcription

VLSI Fabrication ProcessOm prakash5th sem ASCT, Bhopalomprakashsony@gmail.comAbstractVLSI stands for "Very Large ScaleIntegration". This is the field whichinvolves packing more and more logicdevices into smaller and smaller areas.Thanks to VLSI, circuits that would havetaken boardfuls of space can now be putinto a small space few millimeters across!This has opened up a big opportunity to dothings that were not possible before. VLSIcircuits are everywhere . your computer,your car, your brand new state-of-the-artdigital camera, the cell-phones, and whathave you.We must have a workingknowledge of chip fabrication to createeffective designs and in order to optimizethe circuits with respect to variousmanufacturing parameters. Also, thecircuit designer must have a clearunderstanding of the roles of variousmasks used in the fabrication process, andhow the masks are used to define variousfeatures of the devices on-chip.IntroductionAn Integrated Circuit (IC) is an electronicnetwork fabricated in a single piece of asemiconductor material.The semiconductor surface is subjected tovarious processing steps in whichimpurities and other materials are addedwith specific geometrical patternsThe fabrication steps are sequenced toform three dimensional regions that act asa transistors and interconnects that formthe network.Manisha Kumari5 sem ASCT, BhopalManisha2686@gmail.comthWhy VLSI ?1. Greater FunctionalityIts results in average energy savings of35% to 70% with an average speedup of 3to 7 times.2. Embedded CharacteristicsAfter fabrication many applications couldshare commodity economics for theproduction of a single IC and the same ICcould be used to solve different problemsat different points in time.3. Lower System CostBy eliminating the ASIC design lowersystem cost on a low-volume product isachieved. For higher-volume products, theproduction cost of fixed hardware isactually very much lower.HistoryThe final step in the development process,starting in the 1980s and continuingthrough the present, was "very large-scaleintegration" (VLSI). The developmentstarted with hundreds of thousands oftransistors in the early 1980s, andcontinuesbeyondseveralbilliontransistors as of 2007.There was no single breakthrough thatallowed this increase in complexity,thoughmanyfactorshelped.Manufacturing moved to smaller rules and

cleaner fabs, allowing them to producechips with more transistors with adequateyield, as summarized by the InternationalTechnology Roadmap for Semiconductors(ITRS). Design tools improved enough tomake it practical to finish these designs ina reasonable time. The more energyefficient CMOS replaced NMOS andPMOS, avoiding a prohibitive increase inpower consumption.In 1986 the first one megabit RAM chipswere introduced, which contained morethanonemilliontransistors.Microprocessor chips passed the milliontransistor mark in 1989 and the billiontransistor mark in 2005[9]. The trendcontinues largely unabated, with chipsintroduced in 2007 containing tens ofbillions of memory transistor VLSI beganin the 1970s when complex semiconductorand communication technologies werebeingdeveloped.The first "generation" of computers reliedon vacuum tubes. Then came discretesemiconductor devices, followed byintegrated circuits. The first Small-ScaleIntegration (SSI) ICs had small numbers ofdevices on a single chip — diodes,transistors, resistors and capacitors (noinductors though), making it possible tofabricate one or more logic gates on asingle device. The fourth generationconsisted of Large-Scale Integration (LSI),i.e. systems with at least a thousand logicgates. The natural successor to LSI wasVLSI (many tens of thousands of gates ona single chip). Current technology hasmoved far past this mark and today'smicroprocessors have many millions ofgates and hundreds of millions istorprocessors are not yet economicallyfeasible for most uses, but they areachievable in laboratory settings, and theyare clearly on the horizon assemiconductor fabrication moves from thecurrent generation of 90 nanometer (90nm) processes to the next 65 nm and 45nmgenerations.Fabrication process sequence1.Silicon manifacture2.Wafer processing3.Lithography4.Oxide growth and removal5.Diffusion and ion implantation6.Annealing7.Silicon deposition8.Metallization9.Testing10.Assembly and packaging1. Silicon manufacturePure silicon is melted in a pot (1400º C)and a small seed containing the desiredcrystal orientation is inserted into moltensilicon and slowly(1mm/minute) pulle out.

2. Wafer processinglayers and then is removed.The silicon crystal (in some cases alsocontaining doping) is manufactured as acylinder (ingot) with a diameter of8-12 inches(1” 2.54cm).This cylinder is carefully sawed intothin(0.50-0.75 mm thick) disks calledwafers, which are later polished andmarked for crystal orientation.4.Oxide growth and removal3.LithographyLithography: process used to transferpatterns to each layer of the ICLithography sequence steps:Designer:Drawing the “layer” patterns ona layout editorSilicon Foundry:Masks generation fromthe layer patterns in the design data basePrinting: transfer the mask pattern to thewafer surfaceProcess the wafer to physically patterneach layer of the IC.(a).Photo resist application:the surface to be patterned isspin-coated with a light-sensitiveorganic polymer called photoresist(b)Printing (exposure):the mask pattern is developed on thephotoresist, with UV light exposureOxide can be grownfrom silicon throughheating in an oxidizing atmosphereGate oxide, device isolationOxidation consumes siliconSiO2is depositedon materials other thansiliconthrough reaction between gaseous siliconcompounds and oxidizersInsulation between different layers ofmetallizationOnce the desired shape is patterned withphotoresist, the etching process allowsunprotected materials to be removedWet etching: uses chemicalsDry or plasma etching: uses ionized gases5 .Diffusion and ion implantationDoping materials are added toChange the electrical characteristicsof silicon locally through:Diffusion: dopants deposited on siliconmove through the lattice by thermaldiffusion (high temperature process)WellsIon implantation: highly energized donoror acceptor atoms impinge on the surfaceand travel below itThe patterned SiO2serves as animplantation maskSource and Drain regions6. Annealingdepending on the type ofphotoresist(negative or positive), theexposed or unexposed parts becomeresistant to certain types of solvents(c)Development:the soluble photo resistis chemicallyremovedThe developed photo resistacts as a maskfor patterning of underlyingThermal annealing is a high temperatureprocess which:allows doping impurities to diffuse furtherinto the bulkrepairs lattice damage caused by thecollisions with doping ions

7.Silicon depositionFilms of silicon can be added on thesurface of a waferEpitaxy: growthof a single-crystalsemiconductor film on a crystallinesubstatePolysilicon: polycrystalline film with agranular structure obtained throughdepositionof silicon on an amorphousmaterialMOSFET gates8.MetallizationMetallization: deposition of metal layersby evaporationinterconnections9.TestingTest that chip operatesDesign errorsManufacturing errorsA single dust particle or wafer defect killsa dieYields from 90% to 10%Depends on die size, maturity of processTest each part before shipping to customer10.Assembly and packagingTapeout final layoutFabrication6, 8, 12” wafersOptimized for throughput, not latency (10weeks!)Cut into individual dicePackagingBond gold wires from die I/O pads topackage

world. So if the function is constructedwith many smaller ICs connected together,then there are many connections, and thereliability is lower. The vlsi has fewerconnections, and higher reliabilityLimitationsPlacement IssuesAdvantagesLess powerbecause each of the devices consumes onlya tiny amount of power. In a switchingcircuit most of the power is consumedswitching the charge on the capacitors thatconnect the switches to each other. In alarge IC the components are so small andclose together that that capacitance ismuch smaller, and thus less power.Less testing.If you built the same circuit out of discreteICs and other components, each IC has tobe tested (before you use it) for the manydifferent ways it could be used in differentapplications. for 10000 ICs this is a lot oftesting. In a VLSI the components arededicated to a single use. Further, most arelocated in the middle of the VLSI andthere is no access to them for testing. Allyou can test is the function the entirecircuitwasdesignedfor.Reliability.Over time, we have found that thereliability of an IC is a function of howmany connections it has to the outsideIn order to reconfigure a new hardware, itrequires having ample space to place thenew hardware. The component placementissue becomes complex if the componentneeds to be placed near special resourceslike built- in memory, I/O pins or DLLs onthe FPGA.Routing IssuesExisting components has to be connectedto the components newly reconfigured.The ports must be available to interfacenew components. The same ports musthave also been used under theold configuration. To accomplish thisorientation of the components should be ina workable fashion.Timing IssuesNewly configured hardware must meet thetiming requirement for the efficientoperation of the circuit. Longer wiresbetween components may affect thetiming. Optimal speed should be attainableafter dynamically reconfiguring the device.Over timing or under timing the newadded design may yield erroneous result.Consistency IssuesStatic or dynamic reconfiguration of thedevice should not degrade computational

consistency of the design. This issuebecomes critical when the FPGA ispartially reconfigured and interfaced withexisting design. Adding new componentsto the device by reconfigurable fabricshould not erase or alter the existingdesign in the device. (Or memory). Thereshould be some safe methods to store thebit stream to the memory.Development ToolsCommercial development tools fordynamic reconfigurable computing are stillunder development stage. The lack ofcommercially available tools for thespecification to implementation stages ofthe digital design is still a bottleneck. Theavailable tools require enormous humanintervention to implement the completesystemConclusionThe demand for low power VLSI digitalcircuits in the growing area ofportable communications and computingsystems will continue to increase inthe future. Cost and life cycle of theseproducts will depend not only on lowpower synthesis techniques‚ but also onnew DFT methods targeting powerminimization during test application. Thisis because the traditional DFTmethods are not suitable for testing lowpower VLSI circuits since they reducethe reliability and manufacturing yield.Referencesen.wikipedia.org/wiki/VLSI Technologywww.ieee.orgwww.epfl.chPrinciples of CMOS VLSI DesignNeilH.E.WesteKamran Eshraghian

VLSI Fabrication Process Om prakash 5th sem ASCT, Bhopal omprakashsony@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This is the field which involves packing more and more logic devices into smaller and smaller areas. Thanks to VLSI, circuits that would have

Related Documents:

VLSI Design 2 Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device.

VLSI IC would imply digital VLSI ICs only and whenever we want to discuss about analog or mixed signal ICs it will be mentioned explicitly. Also, in this course the terms ICs and chips would mean VLSI ICs and chips. This course is concerned with algorithms required to automate the three steps “DESIGN-VERIFICATION-TEST” for Digital VLSI ICs.

VL2114 RF VLSI Design 3 0 0 3 VL2115 High Speed VLSI 3 0 0 3 VL2116 Magneto-electronics 3 0 0 3 VL2117 VLSI interconnects and its design techniques 3 0 0 3 VL2118 Digital HDL Design and Verification 3 0 0 3 VL2119* Computational Aspects of VLSI 3 0 0 3 VL2120* Computational Intelligence 3 0 0 3

Dr. Ahmed H. Madian-VLSI 3 What is VLSI? VLSI stands for (Very Large Scale Integrated circuits) Craver Mead of Caltech pioneered the filed of VLSI in the 1970’s. Digital electronic integrated circuits could be viewed as a set

15A04604 VLSI DESIGN Course Objectives: To understand VLSI circuit design processes. To understand basic circuit concepts and designing Arithmetic Building Blocks. To have an overview of Low power VLSI. Course Outcomes: Complete Knowledge about Fabrication process of ICs Able to design VLSIcircuits as per specifications given.

Module #3 CMOS Fabrication Agenda 1. CMOS Fabrication - Yield - Process Steps for MOS transistors - Inverter Example - Design Rules - Passive Components - Packaging Announcements 1. Read Chapter 2 Module #3 ECOM 5335 VLSI Design Page 2 CMOS Fabrication CMOS Fabrication - We have talked about

VLSI FABRICATION TECHNOLOGY Introduction Since the first edition of this text, we have witnessed a fantastic evolution in VLSI helate1970s,non-self-alignedmetalgate MOSFETs with gate lengths in the ord

Artificial Intelligence: what consumers say Findings and policy recommendations of a multi-country survey on AI. 02 Products and services consumers deal with on a daily basis – e.g. insurance policies based on automated risk assessments, pro - duct offers on e-commerce sites and price comparison tools – are increasingly powered by artificial intelligence (AI). This technology promises to .