2018 Source Workshop - EUV Litho, Inc.

3y ago
87 Views
4 Downloads
1.38 MB
58 Pages
Last View : 14d ago
Last Download : 3m ago
Upload by : Matteo Vollmer
Transcription

2018 Source WorkshopNovember 5-7, 2018Prague Czech RepublicWorkshop Abstracts

2018 Source Workshop2018 Source Workshop SponsorsGold Level SponsorsOrganized byVivek Bakshi (EUV Litho, Inc.), ChairAkira Endo (HiLASE), Co-ChairLadislav Pina (CTU and Rigaku), Co-ChairTomas Mocek (HiLASE), Co-chairwww.euvlitho.com2

2018 Source WorkshopWelcomeDear Colleagues;We have an excellent agenda this year for the 2018 Source Workshop and I am lookingforward to welcoming you to Prague, Czech Republic.Source workshop, now in its 9th year, is the largest annual gathering of EUV and XUVsource experts! This year we are including the new topic of Blue- X (EUVL extension viashort wavelength sources and optics). The workshop proceedings will be published onlineand made available to all.This year, the EUV Source Workshop is organized by HiLASE and EUV Litho, Inc. and theworkshop has been made possible by the financial support of workshop sponsors:Greateyes, Gigaphoton, ETHZ and Energetiq. I will also like to thank Source workshop’stechnical working group (TWG), workshop support staff, session chairs and presenters fortheir part in making the workshop a success. I look forward to your participation in theworkshop.Best RegardsVivek BakshiChair, 2018 Source Workshopwww.euvlitho.com3

2018 Source WorkshopSource Technical Working Group (TWG)Reza Abhari (ETH Zurich)Peter Anastasi (Silson)Sasa Bajt (DESY)Klaus Bergmann (ILT-Fraunhofer)Udo Dinger (Carl Zeiss)Padraig Dunne (UCD)Samir Ellwi (ISTEQ)Akira Endo (HiLase)Henryk Fiedorowicz (Military University of Technology, Poland)Torsten Feigl (OptiXfab)Igor Fomenkov (ASML)Joost W. M. Frenken (ARCNL)Debbie Gustafson (Energetiq)Ahmed Hassanein (Purdue)Takeshi Higashiguchi (Utsunomia University)Stephen Horn (Energetiq)Larissa Juschkin (RWTH Aachen University)R. Joseph Kline (NIST)Konstantin Koshelev (ISAN)Rainer Lebert (Research Instruments)Peter Loosen (ILT-Fraunhofer)Eric Louis (University of Twente)Thomas Metzger (Trumpf)Hakaru Mizoguchi (Gigaphoton)Fergal O'Reilly (UCD)Gerry O'Sullivan (UCD)Yuriy Platonov (RIT)Ladislav Pina ((Czech Technical University, Prague)Jorge Rocca (University of Colorado)Akira Sasaki (JAEA)Leonid Shmaenok (PhysTex)Emma Sokell (UCD)Atsushi Sunahara (Purdue)Yusuke Teramoto (BLV Licht)Hironari Yamada (PPL)Mikhail Yurkov (DESY)Takayuki Uchiyama (Toshiba)Oscar Versolato (ARCNL)Sergey Zakharov (NAEXTSTREAM)Wim van der Zande (ASML)Vivek Bakshi (EUV Litho, Inc.) - Chairwww.euvlitho.com4

2018 Source WorkshopABSTRACTSwww.euvlitho.com5

2018 Source WorkshopS1EUV Source for Lithography: Readiness for HVM and Outlook forIncrease in Power and Availability.Igor FomenkovASML US LP, San Diego, CA 92127, USAEUV lithography is planned for high-volume manufacturing (HVM) production at 7nm nodeand beyond. Several of ASML’s NXE:3400B EUV scanners are already installed at customersfabs, delivering throughput at the 125 wafer per hour level with the current generation ofEUV sources. The paper describes the technologies incorporated into tin laser-producedplasma (LPP) extreme-ultraviolet (EUV) sources and describes the improvements in thesource architecture and subsystems which have led to improved stability and availability ofEUV lithography sources at the EUV power levels required for HVM. The paper alsodescribes performance improvements of the main subsystems, such as high-power CO2laser, tin droplet generator, collector protection and control system. The results of researchactivities for power scaling and a perspective for LPP EUV sources with power levels of 500W will be shown.Presenting AuthorIgor Fomenkov is an ASML Fellow in Technology Development Group in SanDiego, California. After completing a Ph.D. in Physics and Mathematics atMoscow Institute of Physics and Technology (MPTI) in 1986, he joinedGeneral Physics Institute as a senior scientist, where he worked in the fieldof interaction of high intensity laser radiation with matter and diagnostics oflaser produced plasma. He joined Cymer in 1992 and worked on thedevelopment of high power, high reliability KrF and ArF Excimer lasers forDUV (at 248nm and 193nm) microlithography. Since 1997 he has beenconducting research and development of sources for Extreme UltravioletLithography at 13.5nm. He was appointed Cymer Fellow in 2003 and ASMLFellow in 2014. He has authored over 50 technical papers and holds over100 patents in the areas of DUV and EUV light sources.www.euvlitho.com6

2018 Source WorkshopS2High Power LPP-EUV Source with Long Collector Mirror Lifetimefor Semiconductor High Volume ManufacturingHakaru MizoguchiGigaphoton Inc., Hiratsuka Kanagawa,254-8567, JAPANWe have developed first practical source for HVM; “GL200E” 1) in 2014. We have provedhigh average power CO2 laser more than 20kW at output power cooperate with Mitsubishielectric cooperation2). Pilot#1 is up running and its demonstrates HVM capability; EUVpower recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversionefficiency for 22hours operation in October 20163). Availability is potentially achievable at89% (2weeks average), also superior magnetic mitigation has demonstrated promisingmirror degradation rate ( 0.5%/Gp) above 100W level operation with dummy mirrortest.4).Recently we have demonstrated actual collector mirror reflectivity degradation rate is lessthan -0.4%/Gp by using real collector mirror around 100W ( at I/F clean ) in burst powerduring 30 Billion pulses operation. We will report latest data 125W average operation withactual collector mirror at the workshop.1) Hakaru Mizoguchi, et. al., “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014)2) Yoichi Tanino et.al.,” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013 (Oct.610.2013, Toyama)3) Hakaru Mizoguchi et al., ”Performance of 250W High Power HVM LPP-EUV Source”, Proc. SPIE 10143, Extreme Ultraviolet(EUV) Lithography VIII (2017)4) Hakaru Mizoguchi, et al, ”High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017,(Berkley, 12-15, June, 2017)Presenting AuthorHakaru Mizoguchi is Executive Vice President and CTO of Gigaphoton Inc. Heis member of The International Society of Optical Engineering, The LaserSociety of Japan and The Japan Society of Applied Physics. He received adiplomat degree in plasma diagnostics field from the Kyushu university,Fukuoka, Japan in 1982 and join Komatsu Ltd. He joined CO2 laserdevelopment program in Komatsu for 6 years. After that he was guestscientist of Max-Plank Institute Bio-Physikalish-Chemie in Goettingen inGermany 2 years, from 1988 to 1990. Since 1990 he concentrated on KrF,ArF excimer laser and F2 laser research and development for lithographyapplication. He was general manager of research division in Komatsu Ltd.until 1999. He got Dr. degree in high power excimer laser field from Kyushuuniversity in 1994. In 2000 Gigaphoton Inc. was founded. He was one of thefounders of Gigaphoton Inc. From 2002 to 2010 he organized EUV researchgroup in EUVA program. Now he is promoting EUV light source productdevelopment with present position.www.euvlitho.com7

2018 Source WorkshopS3Laser Produced Plasma Light Sources forShort Wavelength ApplicationsGerry O’SullivanUniversity College Dublin, Belfield, Dublin 4, IrelandLaser produced plasmas (LPPs) have been shown provide versatile sources of extremeultraviolet radiations, Depending on the choice of target they can be used as sources ofcontinuum or line radiation and indeed one of the first reported applications was their useas continuum sources for inner shell photoexcitation of atoms and ions. It was alsoobserved that the short wavelength emission spectra of plasmas from some medium andhigh Z elements was dominated by intense bands of emission arising from unresolvedtransition arrays (UTAs) that resulted from resonance transitions in a range of ion stagesthat overlap within a narrow wavelength range and whose intensity and spectral profile wasvery sensitive to plasma opacity. Since the critical density of LPPs is approximately 10 21(λL2) cm3, where λL is the laser wavelength in μm, plasmas produced by solid state lasers withλL 1 μm, are, in general, optically thick. However, the opacity can be reduced by usinglonger wavelength lasers, low density targets, dual pulse irradiation or by using subnanosecond pulses since opacity also increases with laser pulse duration. Theseobservations have been successfully exploited in EUV lithography sources where poweroutputs exceeding 200 W in a 1% bandwidth centered on 13.6 nm have beendemonstrated. However the production of similar power levels at shorter wavelengthsremains a major challenge since the conversion efficiency, which depends on the ion stagedistribution in the plasma, decreases due to need to produce higher ion stages. Indeed,alternative sources such as compact FELs may ultimately provide a more viable solution forfuture lithography.More recently there have been extensive studies of laser produced plasma for biomedicalimaging applications in the water window (2.3-4.4 nm) following on the development ofoptical components that can be used in this spectral region. Traditionally such work hasbeen performed at synchrotron sources and lab based ‘table-top’ alternatives are requiredin order to meet researcher requirements. Here microscope systems based resonance linesof nitrogen and carbon ions have been developed and research is ongoing on the potentialuse of UTA emission also. Since imaging requires a small plasma size, lasers with pulsedurations of a few hundred picoseconds or less, sufficient to produce the ion stagesrequired but short enough to limit plasma expansion, are required. Interestingly, a recentstudy has shown that water window emission has been obtained using 170 fs laser pulseswhich generated a plasma that contained ion stages up to 22 . However more work needsto be done to establish the optimum laser irradiation conditions for particular promisingtarget materials which in turn depends on the availability of suitable optics. Moreover, suchimaging systems are not without competition and alternative strategies based on the use ofhigh harmonics with coherent diffractive imaging are also emerging.www.euvlitho.com8

2018 Source WorkshopPresenting AuthorGerry O’Sullivan obtained his B. Sc. in Experimental Physics in 1975 fromUniversity College Dublin where he subsequently completed his PhD in atomicspectroscopy under the supervision of Prof. Kevin Carroll in 1980. After aperiod in Dublin City University, he returned to UCD as a lecturer in 1986 andwas Head of the School of Physics from 2002 to 2008. He is currently aProfessor and director of the Atomic and Laser Physics Research(Spectroscopy) Group. His research interests include spectroscopy of laserproduced plasmas, spectroscopy of ion gas collisions and the development oflaser produced plasma based light sources for applications ranging from ionicphotoabsorption studies to lithography and ‘water window’ microscopy. Forthe source development work his group have been involved in a number ofvery productive collaborations with both academic and industrial researchgroups in Ireland, the US, the Czech Republic, Germany, Italy , Poland Chinaand Japan. For his contribution to research he was elected to Membership ofthe Royal Irish Academy in 2004.www.euvlitho.com9

2018 Source WorkshopS12Recent Advances in Development and Application of CompactLaser-Plasma Soft X-ray Sources based on a Gas-Puff TargetHenryk Fiedorowicz, Andrzej Bartnik, Przemysław Wachulak, Karol Janulewicz,Roman Jarocki, Jerzy Kostecki, Tomasz Fok, Łukasz WęgrzyńskiInstitute of Optoelectronics, Military University of Technology, Warsaw, PolandLaser plasma sources of soft X-rays and extreme ultraviolet (EUV) have beendeveloped for application in various areas of technology. The sources are based ona gas puff target irradiated with a nanosecond laser pulse. The targets are createdusing an electromagnetic valve system equipped with a double-nozzle. The valvesystem, which is supplied with two different gases, produces a double-stream gaspuff target which consists of an elongated stream of high-Z gas surrounded by astream of low-Z gas. The double-stream gas puff target approach secures highconversion efficiency of laser energy into soft X-ray and EUV energy withoutdegradation of the nozzle. The targets are irradiated with laser pulses produced bycommercial Nd:YAG lasers (EKSPLA) with a duration of 1 ns to 10 ns, energy in thepulse from 0.5 J to 10 J with a repetition of 10 Hz. The sources have been appliedin various fields, including processing of materials, nanoimaging, radiography andtomography, photoionization of gases, radiobiology and others.In this paper the recent results on application of the sources in X-ray absorptionspectroscopy and optical coherence tomography (OCT) are presented. The use ofthe source in laboratory systems for the near-edge X-ray absorption fine structure(NEXAFS) spectroscopy is demonstrated. The NEXAFS system was applied for 2-Delemental mapping of EUV-modified polymer samples. A single-shot exposureNEXAFS spectroscopy is presented. Application of the source in X-ray opticalcoherence tomography (X-OCT) has been also demonstrated. The preliminaryresults on X-OCT imaging of Mo/Si multilayers with 2 nm axial resolution, usingbroad-band soft X-ray emission, are presented.www.euvlitho.com10

2018 Source WorkshopPresenting AuthorHenryk Fiedorowicz received M.S. degree in technical physics in 1975, Ph.D.degree in material engineering in 1989, both from the Military University ofTechnology in Warsaw, habilitation in physics in 1998 from the Institute ofPhysics Polish Academy of Sciences in Warsaw and the title of professor ofphysical sciences in 2009. In the years 1975-1992 he worked at the Instituteof Plasma Physics and Laser Microfusion in Warsaw and studied laserproduced plasmas using X-ray diagnostics. In 1992 he joined the Institute ofOptoelectronics at the Military University of Technology in Warsaw, where heestablished the laser-matter interaction laboratory. He has proposed a newmethod of generation of X-rays and extreme ultraviolet (EUV) using a laserirradiated gas puff target. His recent works focus on the development,integration, and application of laser-plasma X-ray and EUV sources, includingX-ray lasers. He was director of the Institute of Optoelectronics in the years2002- 2010. Author of about 250 scientific publications.www.euvlitho.com11

2018 Source WorkshopS13Wavelength and Brilliance Scaling Potential of Discharge basedXUV SourcesKlaus Bergmann, Lars Behnke, Alexander von Wezyk, Jochen ViekerFraunhofer Institute for Laser Technology – ILT,Steinbachstr. 15, 52074 Aachen, GermanyCompact, discharge based XUV sources are in use for a variety of applications with mainfocus in the environment of EUVL development at a central wavelength of 13.5 nm. AtFraunhofer ILT the Xenon based hollow cathode triggered pinch plasma is underinvestigation with respect to power scaling and improvement of the long term stability.Currently, a continuous long-term emission of more than 40W/2 sr at 13.5 nm into 2%b.w. is achieved. Recent results on the long-term stability of the collectable EUV power willbe presented. Furthermore, concepts and first results on the scaling potential to shorterwavelengths and increase of the brilliance for applications with small collection angle will bediscussed.Presenting AuthorKlaus Bergmann is Group Manager for EUV Technology at the FraunhoferInstitute for Laser Technology - ILT in Aachen, Germany. The focus of work ison the scaling of plasma based EUV- and soft x-ray sources and theirapplications in future structuring and analysis methods. Klaus Bergmannreceived the M.S. degree in physics and the PhD degree from the Universityof Technology, RWTH Aachen, Germany, in 1992 and 1996, respectively.Since 1992, he has been with the Department for Plasma Technology at theFraunhofer Institute for Laser Technology – ILT with main focus on XUVsource development.www.euvlitho.com12

2018 Source WorkshopS14Xe Laser-Plasma EUV Source – from 13.5 nm to 11 nm:Researches to Optimize the Xe LPP 11-nm Source.S Kalmykov, M Sasin, et alIoffe Institute, St. Petersburg, RussiaResults of researches aimed at enhancement of the EUV radiation output from the Xe LPP11-nm source are described. The goal of the study is to create a basis for a change of theworking wavelength from 13.5nm to 11.2nm as it had been proposed in the Institute forPhysics of Microstructures (Russia) in 2013.A number of Xe LPP spectra has been obtained under a variety of experimental conditionsusing both an EUV spectrograph and turnable Mo/Be and Si/Mo interference mirrors.Combination of these two methods provided absolutely calibrated spectra with no scatteredlight pedestal. All the spectra obtained looked like wide continuous peaks within a λ 914nm band, with their maxima demonstrating a regular displacement along the λ-axissubject to the target density and the laser pulse energy. In the spectra, a tenfold excess ofthe intensity at λ 11.2nm over that at λ 13.5nm has been found.Irradiation of the Xe gas-jet target with a wider laser beam has been discovered to increasethe observed EUV radiation intensity by an order of magnitude relative to the sharpfocusing case. This is attributed to suppression of the photoionization absorption inperipheral layers of the target.Absorption of the laser beam energy in the plasma has been measured. A value of 0.5seems to be an upper limit of the absorption in plasmas with restricted dimensions becauseof its non-linear nature. Аn additional optical system returning the unabsorbed portion ofthe laser energy into the plasma is recommended to increase the conversion efficiency(CE).Summary. Applying optimization methods above allows to expect, to date, that the 11-nmsource CE 1.5-5% is attainable.Presenting Authorwww.euvlitho.com13

2018 Source WorkshopS15New Architectures for PW-Scale High Peak Power LasersScalable to Near-MW Average Powers and Their Application toEUV GenerationC.W. Siders, S. Langer, A.J. Bayramian, A.C. Erlandson, T.C. Galvin, E.F. Sistrunk,T.M. Spinka, and C. L. HaefnerAdvanced Photon Technologies, Lawrence Livermore National Laboratory, NIF &Photon Science Directorate, 7000 East Avenue, Livermore CA 94550Laser architectures based upon multi-pulse extraction and continuous-wave laser diodepumping are scalable to near-MW peak power while maintaining application-enabling highpeak power. These new high average power lasers are capable of producing up to 300kWaverage power and (for applications requiring ultrashort pulses) petawatt-class peakpowers and emphasize efficiency through direct diode pumping of the amplifier medium.They use commercially-available, inexpensive, high-efficiency continuous-wave diodes toachieve optical-to-optical efficiencies of 40%, electrical-to-optical efficiencies 30%, andtrue wall-plug efficiencies of 20%. We will discuss the Big Aperture Thulium (BAT) laser,a new class high-power 2-m lasers and their potential application as drivers for nextgeneration EUV sources.DisclaimerThis document was prepared as an account of work sponsored by an agency of the United States government. Neither theUnited States government nor Lawrence Livermore National Security, LLC, nor any of their employees makes any warranty,expressed or implied, or assumes any legal liability or responsibility for the accuracy, completeness, or usefulness of anyinformation, apparatus, product, or process disclosed, or represents that its use would not infringe privately owned rights.Reference herein to any specific commercial product, process, or service by trade name, trademark, manufacturer, orotherwise does not necessarily constitute or imply its endorsement, recommendation, or favoring by the United Statesgovernment or Lawrence Livermore National Security, LLC.

short wavelength sources and optics). The workshop proceedings will be published online and made available to all. This year, the EUV Source Workshop is organized by HiLASE and EUV Litho, Inc. and the workshop has been made possible by the financial support of workshop sponsors: Greateyes, Gigaphoton, ETHZ and Energetiq.

Related Documents:

Workshop Summary: June 15 2016 New Concepts for a High Brightness LPP EUV Source (P35) Samir Ellwi, ISTEQ 400 W/mm2sr at 8 K HZ drive laser rep rate, power density 1.8x 10 11 W/cm2, CE 2.3% - High position stability and mass uniformity s x/y of 0.5.0.8 m - 2kW W/mm2sr observed Li droplet based system -enclosed, 1kW mm2sr, 1kW brightness, 10 K Hz, 2-410-5 mm2 sr etendue

visible, collected by a visible detector after being passed through a polarizer, and UV (HI 121.6 nm) or EUV (HeII 30.4 nm) lines collected by a EUV/UV detector. Figure 1 Imaging and spectrographic multi-slits field-of-view (FOV) at 0.28 AU for the EUV/UV spectroscopic path. The FOV sector for spectroscopy is about 32 .

the solar EUV irradiance from 0.1 to 105 nm with unprecedented spectral resolution (0.1 nm), temporal cadence (10 sec), and accuracy (20%). The EVE program will provide solar EUV irradiance data for NASA's Living With the Star (LWS) program, including near real-time data products for use in operational atmospheric models that specify the space

XSEDE HPC Monthly Workshop Schedule January 21 HPC Monthly Workshop: OpenMP February 19-20 HPC Monthly Workshop: Big Data March 3 HPC Monthly Workshop: OpenACC April 7-8 HPC Monthly Workshop: Big Data May 5-6 HPC Monthly Workshop: MPI June 2-5 Summer Boot Camp August 4-5 HPC Monthly Workshop: Big Data September 1-2 HPC Monthly Workshop: MPI October 6-7 HPC Monthly Workshop: Big Data

Test Name Score Report Date March 5, 2018 thru April 1, 2018 April 20, 2018 April 2, 2018 thru April 29, 2018 May 18, 2018 April 30, 2018 thru May 27, 2018 June 15, 2018 May 28, 2018 thru June 24, 2018 July 13, 2018 June 25, 2018 thru July 22, 2018 August 10, 2018 July 23, 2018 thru August 19, 2018 September 7, 2018 August 20, 2018 thru September 1

a Z-factor of 0.77, results roughly on par with those reported for leading edge spin-on . and is an SPIE Fellow. 2020 EUVL Workshop www.euvlitho.com 7 P3 . interactions by analyzing patterning impact of light sources. From 2001 to 2006, he

EUV Tech, 2840 Howe Road Suite A, Martinez, CA 94553, USA Improved Stochastic Imaging Properties in Contact Hole Pattern by Using Attenuated PSM for EUVL (P65) Jung Sik Kim1, Seongchul Hong2, Jae Uk Lee2, Seung Min Lee2, Jung Hwan Kim2, Hyun Min Song1, and Jinho

the Source 1 power source until the Source 2 power source does appear. Conversely, if connected to the Source 2 power source and the Source 2 power source fails while the Source 1 power source is still unavailable, the ATS remains connected to the Source 2 power source. ATSs automatically perform the transfer function and include three basic .

PROCEEDINGSOFSPIE ExtremeUltraviolet(EUV) LithographyIII Patrick P. Naulleau ObertR. WoodII Editors 13-16February2012 SanJose, California, UnitedStates Sponsored SPIE Cosponsoredby Cymer, Inc. (United States) Publishedby SPIE

May 15, 2015 · CU University of Colorado (Boulder, CO) DAP Data Analysis Product DDR Derived Data Record DMAS Data Management and Storage DPF Data Processing Facility E&PO Education and Public Outreach EDR Experiment Data Record EUV Extreme Ultraviolet; also used for the EUV Monitor, part o

CU University of Colorado (Boulder, CO) DAP Data Analysis Product DDR Derived Data Record DMAS Data Management and Storage DPF Data Processing Facility E&PO Education and Public Outreach EDR Experiment Data Record EUV Extreme Ultraviolet; also used forthe EUV Monitor, part of LPW(SSL) F

for a grating used in a grazing-incidence plane-grating monochromator for monochromatization of synchrotron radiation in the extreme ultraviolet (EUV) and soft X-ray range with photon energies between 30 eV and 2000 eV. It is found that the instrument can provide competitive spectral resolution in comparison with the use of in-plane diffraction.

Recent Advances in Inorganic Photoresists 7-nm h/p lines 294 mJ/cm 2 A Hafnium-Oxide NanoparticlesB Inpria 12-nm h/p lines 25 mJ/cm2 8-nm h/p lines 47 mJ/cm 36-nm h/p lines 12 mJ/cm2 Cornell Recently, some researchers have developed new EUV resists based on inorganic compounds and nanoparticles with excellent performance. HSQ

temperature upon EUV radiation absorption. An additional parameter that needs to be taken into account is the absorption length or penetration depth ( G) of the emitted radiation. For an object with at least one nanoscopic dimension ( e.g. radius, thickness) smaller than G, it is useful to formulate a complex refractive index ( J ä LJ

Internet of Things High Performance Computing Mobile] Slide 3. Public . Industrial high power CO 2 laser High beam quality for gain extraction and EUV generation . Large volume with density and temperature 4. Long time scale to maintain density and s) temperature 3. Low Temp 2. Density is

design, fabrication and testing of optical components for soft x-ray and EUV radiation: mirrors, filters, multilayers calibration and characterization of space instruments Topics and activities PHEBUS-BepiColombo mission probing of hermean exosphere by ultraviolet spectroscopy METIS-Solar Orbiter mission

The EUV photomask was fabricated using a standard 40 layer Mo/Si stack on quartz. LER with varying magnitude and frequency is pr ogrammed into 90nm , 128 nm, 180 nm (4 X) half pitch line/space . Figure 1 (left) shows the nomenclature Second Place, Best Poster Award Photomask Technology 2012, edited by Frank E. Abboud, Thomas B. Faure, Proc. of .

EUV/AEUV Kommentar Bearbeitet von Prof. Dr. Christian Calliess, Prof. Dr. Matthias Ruffert, Prof. Dr. Hermann-Josef Blanke, Dr. Winfried Brechmann, Prof. Dr. Jürgen .

Enhancing native defect sensitivit y for EUV actinic blank inspection: optimized pupil engineering and photon noise study Z ow-Gwo Wang* a,b, Andy Neureuther a,b, Patrick Naulleau b aDepartment of Electrical Engineering and Computer Sciences, Univer sity of California, Berkeley, CA USA 94720; bCenter for X-ray Optics, Lawrence Berk eley National Laboratory, Berkeley, CA

criminal justice systems in terms of homicide cases solved by the police, persons arrested for and per-sons convicted of homicide. Bringing the perpetrators of homicide to justice and preventing impunity for those responsible for lethal violence is a core responsibility of the State. Indeed, there is international recognition1 that the State is required to provide judicial protection with .