RAM Megafunction User Guide - University Of Washington

3y ago
53 Views
6 Downloads
2.60 MB
118 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Brenna Zink
Transcription

RAM MegafunctionUser Guide101 Innovation DriveSan Jose, CA 95134(408) 544-7000www.altera.comSoftware Version:Document Version:Document Date:7.02.0March 2007

Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks andservice marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrantsperformance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to makechanges to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by AlteraCorporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.UG-MF9404-2.0iiRAM Megafunction User GuidePreliminaryAltera CorporationMarch 2007

ContentsChapter 1. About this MegafunctionDevice Family Support . 1–1Introduction . 1–2Features of RAM: 1-PORT MegaWizard Plug-In Manager . 1–3General Description of RAM: 1-PORT MegaWizard Plug-In Manager . 1–4Resource Utilization and Performance of Single-Port RAM . 1–6Features of RAM: 2-PORT MegaWizard Plug-In Manger . 1–7General Description of RAM: 2-PORT MegaWizard Plug-In Manager . 1–7Resource Utilization and Performance of Dual-Port RAM . 1–9Features of RAM: 3-PORT MegaWizard Plug-In Manager . 1–10General Description of the RAM: 3-PORT MegaWizard Plug-In Manager . 1–10Resource Utilization and Performance of the Tri-Port RAM . 1–11Chapter 2. Getting StartedSoftware and System Requirements . 2–1MegaWizard Plug-In Manager Customization . 2–1Using the MegaWizard Plug-In Manager . 2–2The RAM: 1-PORT MegaWizard Plug-In Manager Page Descriptions . 2–3The RAM: 2-PORT MegaWizard Plug-In Manager Page Descriptions . 2–11The RAM: 3-PORT MegaWizard Plug-In Manager Page Descriptions . 2–22Inferring Megafunctions from HDL Code . 2–28Instantiating Megafunctions in HDL Code . 2–28Identifying a Megafunction after Compilation . 2–28Simulation . 2–29Quartus II Software Simulation . 2–29EDA Simulation . 2–29In-System Updating of Memory and Constants . 2–30Design Examples for the RAM Megafunctions . 2–31Design Files . 2–31Example for RAM: 1-PORT . 2–31Generate the Single-Port RAM . 2–31Implement Single-Port RAM . 2–38Functional Results—Simulate the Single-Port RAM in the Quartus II Software . 2–40Understanding the Simulation Results . 2–42Functional Results—Simulate the Single-Port RAM in the ModelSim-Altera Software 2–44Example for RAM: 2-PORT . 2–45Generate the Dual-Port RAM . 2–45Implement Dual-Port RAM . 2–55Functional Results—Simulate the Dual-Port RAM in Quartus II Software . 2–56Understanding the Simulation Results . 2–58Functional Results—Simulate the Dual-Port RAM in the ModelSim-Altera Software . 2–61Altera Corporationiii

ContentsExample for RAM: 3-PORT .Generate the Tri-Port RAM .Implement the Tri-Port RAM .Functional Results—Simulate the Tri-Port RAM in the Quartus II Software .Understanding the Simulation Results .Functional Results—Simulate the Tri-Port RAM in the ModelSim-Altera Software .Conclusion .2–632–632–682–682–712–732–75Chapter 3. SpecificationsIntroduction . 3–1Ports and Parameters for the lpm ram dq Megafunction . 3–1Ports and Parameters for the altdpram Megafunction . 3–6Ports and Parameters for the altsyncram Megafunction . 3–11Ports and Parameters for the alt3pram Megafunction . 3–20ivRAM Megafunction User GuideAltera Corporation

About this User GuideRevision HistoryDateThe table below displays the revision history for this user guide.DocumentVersionChanges MadeMarch 20072.0 Complete re-write of the Guide.September 20041.0 Initial Release.How to ContactAlteraFor the most up-to-date information about Altera products, go to theAltera world-wide web site at www.altera.com. For technical support forthis product, go to www.altera.com/mysupport. For additionalinformation about Altera products, consult the following sources.Information TypeContactTechnical supportwww.altera.com/mysupport/Product literaturewww.altera.com (1)Altera literature servicesliterature@altera.comFTP siteftp.altera.comNote to table:(1)Altera CorporationMarch 2007You can also contact your local Altera sales office or sales representative.vRAM Megafunction User Guide

Typographic ConventionsTypographicConventionsThis document uses the following typographic conventions.Visual CueMeaningBold Type with InitialCapital LettersCommand names, dialog box titles, checkbox options, and dialog box options areshown in bold, initial capital letters. Example: Save As dialog box.bold typeExternal timing parameters, directory names, project names, disk drive names,filenames, filename extensions, and software utility names are shown in boldtype. Examples: fMAX, \qdesigns directory, d: drive, chiptrip.gdf file.Italic Type with Initial CapitalLettersDocument titles are shown in italic type with initial capital letters. Example:AN 75: High-Speed Board Design.Italic typeInternal timing parameters and variables are shown in italic type.Examples: tPIA, n 1.Variable names are enclosed in angle brackets ( ) and shown in italic type.Example: file name , project name .pof file.Initial Capital LettersKeyboard keys and menu names are shown with initial capital letters. Examples:Delete key, the Options menu.“Subheading Title”References to sections within a document and titles of on-line help topics areshown in quotation marks. Example: “Typographic Conventions.”Courier typeSignal and port names are shown in lowercase Courier type. Examples: data1,tdi, input. Active-low signals are denoted by suffix n, e.g., resetn.Anything that must be typed exactly as it appears is shown in Courier type. Forexample: c:\qdesigns\tutorial\chiptrip.gdf. Also, sections of anactual file, such as a Report File, references to parts of files (e.g., the AHDLkeyword SUBDESIGN), as well as logic function names (e.g., TRI) are shown inCourier.1., 2., 3., anda., b., c., etc.Numbered steps are used in a list of items when the sequence of the items isimportant, such as the steps listed in a procedure. Bullets are used in a list of items when the sequence of the items is not important. v The checkmark indicates a procedure that consists of one step only.1The hand points to information that requires special attention.cA caution calls attention to a condition or possible situation that can damage ordestroy the product or the user's work.wA warning calls attention to a condition or possible situation that can cause injuryto the user.rThe angled arrow indicates you should press the Enter key.fThe feet direct you to more information on a particular topic.2–viRAM Megafunction User GuideAltera CorporationMarch 2007

1. About this MegafunctionDevice FamilySupportThe RAM megafunction supports the following target Altera devicefamilies: Altera CorporationMarch 2007Stratix IIIStratix IIStratix II GXStratixStratix GXCyclone IIICyclone IICycloneHardCopy IIHardCopy StratixMAX IIMAX 3000AMAX 7000AEMAX 7000BMAX 7000SACEX 1K APEX IIAPEX 20KCAPEX 20KEFLEX 10K FLEX 10KAFLEX 10KEFLEX 60001–1RAM Megafunction User Guide

IntroductionIntroductionAs design complexities increase, the use of vendor-specific intellectualproperty (IP) blocks has become a common design methodology. Alteraprovides parameterizable megafunctions that are optimized for Alteradevice architectures. Using megafunctions instead of coding your ownlogic saves valuable design time. The Altera-provided functions offermore efficient logic synthesis and device implementation. You can scalethe size of the megafunction by setting various parameters.The Quartus II software provides three MegaWizard Plug-InManagers that support single-port, dual-port, and tri-port RAMfunctionality. RAM:1-PORTRAM: 2-PORTRAM: 3-PORTThese plug-in managers are user view wizards and not the actualmegafunctions.Table 1–1 shows the RAM megafunctions used when configuringthrough the RAM MegaWizard Plug-In Managers for different Alteradevice families.Table 1–1. RAM Megafunctions Used for Different Device Families (Part 1of 2)DeviceRAM: 1-PortRAM: 2-PortRAM: 3-PortStratix IIIStratix II GXStratix IIStratixStratix GXCyclone IIICyclone IICycloneHardCopy IIHardCopy Stratixaltsyncram(1)altsyncram(2)alt3pram1–2RAM Megafunction User GuideAltera CorporationMarch 2007

About this MegafunctionTable 1–1. RAM Megafunctions Used for Different Device Families (Part 2of 2)DeviceRAM: 1-PortRAM: 2-PortRAM: 3-PortMAX IIMAX 3000AMAX 7000AEMAX 7000BMAX 7000SACEX 1KAPEX IIAPEX 20KEAPEX 20KCFLEX 10KFLEX 10KAFLEX 10KEFLEX 6000lpm ram dqaltdpramalt3pramNotes to Table 1–1:(1)(2)If the RAM block type is LC, the device uses the lpm ram dq megafunction.If the RAM block type is LC or if the RAM block type is MLAB with unregisteredread input, the Stratix III device uses the altdpram megafunction.The MegaWizard Plug-In Manager chooses the right megafunction basedon the selections you make in the wizard. This chapter describes thefeatures, descriptions, and resource usage of the RAM MegaWizardPlug-In Managers.Features ofRAM: 1-PORTMegaWizardPlug-In ManagerThe RAM: 1-PORT MegaWizard Plug-In Manager implements asingle-port RAM function and offers many additional features, whichinclude: fAltera CorporationMarch 2007Configurable RAM block typeSingle clock or dual clock (input/output) modesSynchronous or asynchronous single-port RAMAdditional port for Stratix III and Cyclone III devices that providesextra RAM featuresRead-During-Write option for Stratix III and Cyclone III devicesFor more information about new features supported by Stratix IIIdevices, refer to the TriMatrix Embedded Memory Blocks in Stratix IIIDevices chapter of the Stratix III Device Handbook.1–3RAM Megafunction User Guide

Features of RAM: 1-PORT MegaWizard Plug-In ManagerGeneral Description of RAM: 1-PORT MegaWizard Plug-InManagerThe RAM: 1-PORT MegaWizard Plug-In Manager is an easy-to-use GUIfor configuring a single-port RAM.It provides different RAM block types for selection depending on thedevice you select. Refer to “Resource Utilization and Performance ofSingle-Port RAM” on page 1–6 for more details.The RAM: 1-PORT MegaWizard Plug-In Manager allows you to specifyeither of two clocking modes: a single clock mode or a dual clock(input/output) mode.In single clock mode, the read and write operations are synchronous withthe same clock. In the Stratix and Cyclone series of devices, a single clockwith a clock enable controls all registers of the memory block.Dual clock (input/output) mode operates with two independent clocks:inclock (input clock for write operation) and outclock (output clockfor read operation). The input clock controls all registers related to thedata input to the memory block, including data, address, byte enables,read enables, and write enables. The output clock controls the data outputregisters.When you select either of the the Stratix and Cyclone series of devices,you can either select single clock or dual clock (input/output) mode foryour single-port RAM. For other devices, you can only use dual clockmode for your single-port RAM. Regardless of device type you select,you must use dual clock mode if you select logic cells (LCs) as your RAMblock type. Also, regardless of the clock mode used, asynchronous clearsare available on output latches and output registers only if you useStratix III or Cyclone III devices.For the Stratix and Cyclone series of devices, only synchronous RAM issupported. For other devices, you can use synchronous or asynchronoussingle-port RAM.Synchronous write operations into the memory block use the address[]and data[] ports, which are triggered by the rising edge of the inclockwhile the we (write enable) port is enabled. For asynchronous operation,the address[] and data[]signals must be valid at both edges of thewrite enable signal. Ideally, the values on the data and address linesshould not be changed while the we port is active.1–4RAM Megafunction User GuideAltera CorporationMarch 2007

About this MegafunctionFor devices other than the Stratix and Cyclone series, you cannot accessthe clock enable ports, byte enable port or asynchronous clear port. Theseports are only accessible for the Stratix and Cyclone series of devices,provided that LC is not selected. All types of memory blocks (exceptM512 and LCs) support byte enables that mask the input data, to ensurethat only specific bytes of data are written. The unwritten bytes retaintheir previous written values.fFor more information about byte enable supported by Stratix III devices,refer to the TriMatrix Embedded Memory Blocks in Stratix III Deviceschapter of the Stratix III Devices Handbook.In addition to these ports, Stratix III and Cyclone III devices support theread enable port (rden) for single-port RAM. This port is supported byStratix III and Cyclone III devices only, for all types of RAM block exceptfor MLAB and LCs. Refer to Figure 1–1.The RAM: 1-PORT MegaWizard Plug-In Manager also provides anadditional feature, the Read-During-Write option, if you select either aStratix III or Cyclone III device. This feature is not supported by Stratix IIIor Cyclone III devices when the LCs type of memory block is selected.When you select this option, you can determine whether the read value isDon't Care, New Data, or Old Data when reading during a simultaneouswrite to the same memory location. The data options vary depending onthe RAM block type you selected.Altera CorporationMarch 20071–5RAM Megafunction User Guide

Features of RAM: 1-PORT MegaWizard Plug-In ManagerFigure 1–1. Typical Single-Port RAM Block Diagram for Stratix III DevicesNote (1)data[ ]q[ ]wrenaddress[ ]byteena[ ]aclrrdenSingle-PortRAM ArrayinclockinclockenoutclockoutclockenNote to Figure 1–1:(1)This image shows only the common input ports for a typical single-port RAM forStratix III devices when the altsyncram megafunction is used. Refer to “Ports andParameters for the altsyncram Megafunction” on page 3–11 for all the input andoutput ports.Resource Utilization and Performance of Single-Port RAMThe RAM: 1-PORT MegaWizard Plug-In Manager uses either thealtsyncram megafunction or the lpm ram dq megafunction toimplement single-port RAM. The single-port RAM uses the followingdevice resources: 1–6RAM Megafunction User GuideMLAB, M9K, or M144K in Stratix III devicesM512, M4K, or M-RAM in Stratix series of devices (except Stratix IIIdevices)M9K in Cyclone III devicesM4K in Cyclone and Cyclone II devicesEmbedded System Blocks (ESB) in APEX II, and APEX 20KC devicesDFFE primitives or latch arrays in FLEX 6000, MAX II, MAX 3000A,MAX 7000AE, MAX 7000B, and MAX 7000S devicesEmbedded Array Blocks (EAB) in ACEX 1K, FLEX 10K, FLEX 10KA,and FLEX 10KE devicesAltera CorporationMarch 2007

About this MegafunctionFeatures ofRAM: 2-PORTMegaWizardPlug-In MangerThe RAM: 2-PORT MegaWizard Plug-In Manager implements adual-port RAM function and offers many additional features, whichinclude: Simultaneous read and write access to memory cellsConfigurable RAM block typeDifferent clock modesError checking and correction feature for Stratix III devicesRead-during-Write option for Stratix III and Cyclone III devices foreach output port independentlyGeneral Description of RAM: 2-PORT MegaWizard Plug-InManagerThe RAM: 2-PORT MegaWizard Plug-In Manager is an easy-to-use GUIfor configuring a dual-port RAM.The wizard allows you to specify either of two dual-port modes, a simpledual-port mode (one read port (rdaddress) and one write port(wraddress)) or a true dual-port mode (two read/write port(address a and address b)). The Stratix and Cyclone series of devicessupport both modes for the dual-port RAM. Other devices support onlythe

Introduction Introduction As design complexities increase, the use of vendor-specific intellectual property (IP) blocks has become a common design methodology. Altera provides parameterizable megafunctions that are optimized for Altera device architectures. Using megafunc tions instead of coding your own logic saves valuable design time.

Related Documents:

FISHFINDER 340C : RAM-101-G2U RAM-B-101-G2U . RAM-101-G2U most popular. Manufacturer Model RAM Recommended Mount The Mount Depot Note . GARMIN FISHFINDER 400C . RAM-101-G2U RAM-B-101-G2U . RAM-101-G2U most popular. GARMIN FISHFINDER 80 . RAM-101-G2U RAM-B-101-G2U . RAM-101-

101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 User Guide RAM-Based Shift Register (ALTSHIFT_TAPS) Megafunction Docume

RAM Combat, RAM Desert Eagle Ages 18 12/06 P000524 Read this owner’s manual completely. This marker is not a toy. Treat it with the same respect you would a firearm. Always carefully follow the safety instructions found in this owner’s manual and keep this manual in a safe place for future use. RAM Combat RAM X50 RAM Desert Eagle

Chapter 5: Ram Stavaraj, Ram Ashtaks & Ram Raksha Stotra: Page: 411-480 (5.1) Ram Stavaraj Stotra—Page: 411 (5.2) Ram Ashtak Stotra—Page: 452 (5.3) Raghunaath Ashtak Stotra—Page: 456 (5.4) Sita Ram Ashtak Stotra—Page: 461 (5.5) Ram Raksha Stotra—Page: 468 Chapter 6: Lord Ram’s Mantras appearing in the ‘Upanishads’: Page: 481-551

101 Innovation Drive San Jose, CA 95134 www.altera.com Clock Control Block (ALTCLKCTRL) Megafunction User

Raksha karo, raksha karo, Sain Kapish Bhavtari Shri Ram Vandana Param Purush Aadi Narayan, Jan-hit-kari Ram Muni-man ranjan, Bbhav-bhay bhanjan, Asur Sanhari Ram Jagpita Mata, Survar Data, Bhagat bhay-hari Ram Kaljug Sain roop dharaye, Rachna Tari Ram Param Vidhata, Bhavjal Trata, Charan-vari Ram Jag mein aayo, Anand chhayo, Santan dukhhari Ram

2016-18 Ram 1500 Rebel, 2018 Ram 1500, 2019 Ram 1500 Classic (Metal Bumpers) (Inc. EcoDiesel); 2016-18 Ram 1500 Bighorn/aramie/aramie onghorn (Chrome Bumpers) Installation Instructions. 405-0571 Rev D Page 2 of 6 11/8/18. . 2016 DODGE RAM 1500 REBEL EC # 4826; PROJECT # R4226; GVWR: 6800 lbs 35" BETWEEN TAB CENTERS DATE OF MFG: 10/15

Position the ram so the air regulators for the pump and the ram will be easily accessible. Ensure that there is sufficient overhead clearance when the ram is fully raised. Refer to Dimensions on page 31. Using the holes in the ram base as a guide, drill four holes for 13 mm (1/2 in.) anchors. Check that the ram base is level in all directions. If