Microelectronic Circuits - GBV

2y ago
507 Views
56 Downloads
5.17 MB
12 Pages
Last View : 10d ago
Last Download : 2m ago
Upload by : Kairi Hasson
Transcription

«.* '' '"";*' *' " ": ':.:: ; ' . ' ;\ .-.-., ;. т . * ; . : — - '. - -jj.-. .*. * У * « . *.INTERNATIONALSIXTH EDITIONMicroelectronicCircuitsAdel S. SedraUniversity of WaterlooKenneth C. SmithUniversity of TorontoNew YorkOxfordOXFORD UNIVERSITY PRESS2011N

CONTENTSPreface xixВДЗДDEVICES AND BASICCIRCUITS1 Electronics andSemiconductors 2Introduction 31.1 Signals 41.2 Frequency Spectrum of Signals 71.3 Analog and Digital Signals 101.4 Amplifiers 131.4.1 Signal Amplification 131.4.2 Amplifier Circuit Symbol 141.4.3 Voltage Gain 141.4.4 Power Gain and Current Gain 151.4.5 Expressing Gain in Decibels 151.4.6 The Amplifier Power Supplies 161.4.7 Amplifier Saturation 181.4.8 Symbol Convention 181.5 Circuit Models for Amplifiers 201.5.1 Voltage Amplifiers 201.5.2 Cascaded Amplifiers 221.5.3 Other Amplifier Types 241.5.4 Relationships Between the FourAmplifier Models 251.5.5 Determining 7?, and/?0 261.5.6 Unilateral Models 261.6 Frequency Response of Amplifiers 291.6.1 Measuring the AmplifierFrequency Response 291.6.2 Amplifier Bandwidth 301.6.3 Evaluating the FrequencyResponse of Amplifiers 301.6.4 Single-Time-ConstantNetworks 311.6.5 Classification of Amplifiers Basedon Frequency Response 361.7 Intrinsic Semiconductors 391.8 Doped Semiconductors 411.9 Current Flow in Semiconductors 451.9.1 Drift Current 451.9.2 Diffusion Current 481.9.3 Relationship Between D and /J 511.10 The pn Junction with Open-CircuitTerminals (Equilibrium) 511.10.1 Physical Structure 511.10.2 Operation with Open-CircuitTerminals 521.11 The pn Junction with AppliedVoltage 581.11.1 Qualitative Description ofJunction Operation 581.11.2 The Current-VoltageRelationship of the Junction 601.11.3 Reverse Breakdown 651.12 Capacitive Effects in the pn Junction 671.12.1 Depletion or JunctionCapacitance 671.12.2 Diffusion Capacitance 69Summary 71Problems 742 Operational Amplifiers84Introduction 852.1 The Ideal Op Amp 862.1.1 The Op-Amp Terminals 862.1.2 Function and Characteristics ofthe Ideal Op Amp 872.1.3 Differential and Common-ModeSignals 892.2 The Inverting Configuration 902.2.1 The Closed-Loop Gain 912.2.2 Effect of Finite Open-LoopGain 932.2.3 Input and Output Resistances 942.2.4 An Important Application: TheWeighted Summer 972.3 The Noninverting Configuration 992.3.1 The Closed-Loop Gain 992.3.2 Effect of Finite Open-LoopGain 101

viiiContents2.3.3 Input and Output Resistance 1012.3.4 The Voltage Follower 1012.4 Difference Amplifiers 1032.4.1 A Single Op-Amp DifferenceAmplifier 1042.4.2 A Superior Circuit: TheInstrumentation Amplifier 1082.5 Integrators and Differentiators 1122.5.1 The Inverting Configuration withGeneral Impedances 1122.5.2 The Inverting Integrator 1142.5.3 The Op-Amp Differentiator 1192.6 DC Imperfections 1202.6.1 Offset Voltage 1212.6.2 Input Bias and Offset Currents 1252.6.3 Effect of Vos and / o s on the Operationof the Inverting Integrator 1282.7 Effect of Finite Open-Loop Gain andBandwidth on Circuit Performance 1292.7.1 Frequency Dependence of the OpenLoop Gain 1292.7.2 Frequency Response of Closed-LoopAmplifiers 1312.8 Large-Signal Operation of Op Amps 1342.8.1 Output Voltage Saturation 1342.8.2 Output Current Limits 1342.8.3 Slew Rate 1362.8.4 Full-Power Bandwidth 138Summary 139Problems 1403 Diodes154Introduction 1553.1 The Ideal Diode 1563.1.1 Current-Voltage Characteri stic 1563.1.2 A Simple Application: TheRectifier 1573.1.3 Another Application: Diode LogicGates 1603.2 Terminal Characteristics of JunctionDiodes 1633.2.1 The Forward-Bias Region 1653.2.2 The Reverse-Bias Region 1683.2.3 The Breakdown Region 1683.3 Modeling the Diode ForwardCharacteristic 1693.3.1 The Exponential Model 1693.3.2 Graphical Analysis Using theExponential Model 1703.3.3 Iterative Analysis Using theExponential Model 1703.3.4 The Need for Rapid Analysis 1713.3.5 The Constant-Voltage-DropModel 1713.3.6 The Ideal-Diode Model 1733.3.7 The Small-Signal Model 1743.3.8 Use of the Diode Forward Drop inVoltage Regulation 1773.4 Operation in the Reverse BreakdownRegion—Zener Diodes 1793.4.1 Specifying and Modeling the ZenerDiode 1803.4.2 Use of the Zener as a ShuntRegulator 1813.4.3 Temperature Effects 1843.4.4 A Final Remark 1843.5 Rectifier Circuits 1843.5.1 The Half-Wave Rectifier 1853.5.2 The Full-Wave Rectifier 1873.5.3 The Bridge Rectifier 1893.5.4 The Rectifier with a FilterCapacitor—The Peak Rectifier 1903.5.5 Precision Half-Wave Rectifier—TheSuperdiode 1963.6 Limiting and Clamping Circuits 1973.6.1 Limiter Circuits 1973.6.2 The Clamped Capacitor or DCRestorer 2003.6.3 The Voltage Doubler 2023.7 Special Diode Types 2033.7.1 The Schottky-Barrier Diode(SBD) 2033.7.2 Varactors 2043.7.3 Photodiodes 2043.7.4 Light-Emitting Diodes (LEDs) 204Summary 205Problems 2064 Bipolar Junction Transistors(BJTs) 218Introduction 2194.1 Device Structure and PhysicalOperation 2204.1.1 Simplified Structure and Modes ofOperation 2204.1.2 Operation of the npn Transistor inthe Active Mode 2214.1.3 Structure of Actual Transistors 229

Contents4.24.34.44.54.64.1.4 Operation in the SaturationMode 2304.1.5 The pnp Transistor 232Current-Voltage Characteristics 2334.2.1 Circuit Symbols andConventions 2334.2.2 Graphical Representation ofTransistor Characteristics 2384.2.3 Dependence of ic on the CollectorVoltage—The Early Effect 2394.2.4 An Alternative Form of theCommon-Emitter Characteristics 242BJT Circuits at DC 246Applying the BJT in Amplifier Design 2644.4.1 Obtaining a Voltage Amplifier 2644.4.2 The Voltage Transfer Characteristic(VTC) 2654.4.3 Biasing the BJT to Obtain LinearAmplification 2654.4.4 The Small-Signal Voltage Gain 2674.4.5 Determining the VTC by GraphicalAnalysis 2694.4.6 Locating the Bias Point Q 270Small-Signal Operation and Models 2714.5.1 The Collector Current and theTransconductance 2724.5.2 The Base Current and the InputResistance at the Base 2744.5.3 The Emitter Current and the InputResistance at the Emitter 2754.5.4 Voltage Gain 2764.5.5 Separating the Signal and the DCQuantities 2774.5.6 The Hybrid-л: Model 2784.5.7 The T Model 2794.5.8 Small-Signal Models of the pnpTransistor 2804.5.9 Application of the Small-SignalEquivalent Circuits 2804.5.10 Performing Small-SignalAnalysis Directly on the CircuitDiagram 2874.5.11 Augmenting the Small-Signal Modelto Account for the Early Effect 2884.5.12 Summary 290Basic BJT Amplifier Configurations 2904.6.1 The Three Basic Configurations 2924.6.2 Characterizing Amplifiers 2934.6.3 The Common-Emitter (CE)Amplifier 295ix4.6.4 The Common-Emitter Amplifier withan Emitter Resistance 3004.6.5 The Common-Base (CB)Amplifier 3044.6.6 The Common-Collector Amplifier orEmitter Follower 3064.6.7 Summary and Comparisons 3134.7 Biasing in BJT Amplifier Circuits 3144.7.1 The Classical Discrete-CircuitBiasing Arrangement 3154.7.2 A Two-Power-Supply Version of theClassical Bias Arrangement 3184.7.3 Biasing Using a Collector-to-BaseFeedback Resistor 3194.7.4 Biasing Using a Constant-CurrentSource 3204.8 Discrete-Circuit BJT Amplifiers 3214.8.1 The Basic Structure 3214.8.2 The Common-Emitter (CE)Amplifier 3234.8.3 The Common-Emitter Amplifier withan Emitter Resistance 3254.8.4 The Common-Base (CB)Amplifier 3274.8.5 The Emitter Follower 3284.8.6 The Amplifier FrequencyResponse 3294.9 Transistor Breakdown and TemperatureEffects 3314.9.1 Transistor Breakdown 3314.9.2 Dependence of ß on Ic andTemperature 332Summary 333Problems 3345 MOS Field-Effect Transistors(MOSFETs) 354Introduction 3555.1 Device Structure and PhysicalOperation 3565.1.1 Device Structure 3565.1.2 Operation with Zero Gate Voltage 3585.1.3 Creating a Channel for CurrentFlow 3585.1.4 Applying a Small vDS 3605.1.5 Operation as vDS Is Increased 3635.1.6 Operation for vDS vov 3665.1.7 Thep-ChannelMOSFET 3685.1.8 Complementary MOS or CMOS 370

5.25.35.45.55.65.75.1.9 Operating the MOS Transistor in theSubthreshold Region 370Current-Voltage Characteristics 3715.2.1 Circuit Symbol 3715.2.2 TheiD vDs Characteristics 3725.2.3 The iD-vcs Characteristic 3745.2.4 Finite Output Resistance inSaturation 3775.2.5 Characteristics of the p-ChannelMOSFET 380MOSFET Circuits at DC 382Applying the MOSFET in AmplifierDesign 3925.4.1 Obtaining a Voltage Amplifier 3925.4.2 The Voltage Transfer Characteristic(VTC) 3925.4.3 Biasing the MOSFET to ObtainLinear Amplification 3935.4.4 The Small-Signal Voltage Gain 3945.4.5 Determining the VTC by GraphicalAnalysis 3985.4.6 Locating the Bias Point Q 399Small-Signal Operation and Models 4005.5.1 The DC Bias Point 4005.5.2 The Signal Current in the DrainTerminal 4015.5.3 The Voltage Gain 4035.5.4 Separating the DC Analysis and theSignal Analysis 4035.5.5 Small-Signal Equivalent CircuitModels 4045.5.6 The Transconductance gm 4065.5.7 The T Equivalent Circuit Model 4115.5.8 Summary 414Basic MOSFET AmplifierConfigurations 4155.6.1 The Three Basic Configurations 4165.6.2 Characterizing Amplifiers 4175.6.3 The Common-Source (CS)Configuration 4185.6.4 The Common-Source Amplifier witha Source Resistance 4215.6.5 The Common-Gate (CG)Amplifier 4245.6.6 The Common-Drain Amplifier orSource Follower 4265.6.7 Summary and Comparisons 429Biasing in MOS Amplifier Circuits 4305.7.1 Biasing by Fixing VGS 4315.7.2 Biasing by Fixing VG and Connectinga Resistance in the Source 4325.7.3 Biasing Using a Drain-to-GateFeedback Resistor 4355.7.4 Biasing Using a Constant-CurrentSource 4365.7.5 A Final Remark 4385.8 Discrete-Circuit MOS Amplifiers 4385.8.1 The Basic Structure 4385.8.2 The Common-Source (CS)Amplifier 4405.8.3 The Common-Source Amplifier witha Source Resistance 4425.8.4 The Common-Gate (CG)Amplifier 4425.8.5 The Source Follower 4455.8.6 The Amplifier Bandwidth 4465.9 The Body Effect and Other Topics 4475.9.1 The Role of the Substrate—TheBody Effect 4475.9.2 Modeling the Body Effect 4485.9.3 Temperature Effects 4495.9.4 Breakdown and Input Protection 4495.9.5 Velocity Saturation 4505.9.6 The Depletion-Type MOSFET 450Summary 452Problems 453Ш&Ы11 INTEGRATED-CIRCUITAMPLIFIERS6 Building Blocks of IntegratedCircuit Amplifiers 468Introduction 4696.1 1С Design Philosophy 4706.2 The Basic Gain Cell 4716.2.1 The CS and CE Amplifiers withCurrent-Source Loads 4716.2.2 The Intrinsic Gain 4726.2.3 Effect of the Output Resistance ofthe Current-Source Load 4756.2.4 Increasing the Gain of the BasicCell 4816.3 The Cascode Amplifier 4826.3.1 Cascoding 4826.3.2 The MOS Cascode 4836.3.3 Distribution of Voltage Gain in aCascode Amplifier 4906.3.4 The Output Resistance of a SourceDegenerated CS Amplifier 4936.3.5 Double Cascoding 494

Contents6.3.6 The Folded Cascode 4956.3.7 The BJT Cascode 4966.3.8 The Output Resistance of an EmitterDegenerated СЕ Amplifier 5006.3.9 BiCMOS Cascodes 5016.4 1С Biasing—Current Sources, CurrentMirrors, and Current-Steering Circuits 5026.4.1 The Basic MOSFET CurrentSource 5036.4.2 MOS Current-Steering Circuits 5066.4.3 BJT Circuits 5086.5 Current-Mirror Circuits with ImprovedPerformance 5136.5.1 Cascode MOS Mirrors 5146.5.2 A Bipolar Mirror with Base-CurrentCompensation 5156.5.3 The Wilson Current Mirror 5156.5.4 The Wilson MOS Mirror 5186.5.5 The Widlar Current Source 5196.6 Some Useful Transistor Pairings 5226.6.1 The CC-CE, CD-CS, and CD-CEConfigurations 5226.6.2 The Darlington Configuration 5256.6.3 The CC-CB and CD-CGConfigurations 526Summary 529Appendix 6.A Comparison of theMOSFET and BJT 5306. A. 1 Typical Values of 1С MOSFETParameters 5306.A.2 Typical Values of 1С BJTParameters 5326.A.3 Comparison of ImportantCharacteristics 5336.A.4 Combining MOS and BipolarTransistors: BiCMOS Circuits 5446.A.5 Validity of the Square-Law MOSFETModel 545Problems 5457 Differential andMultistage Amplifiers558Introduction 5597.1 The MOS Differential Pair 5607.1.1 Operation with a Common-ModeInput Voltage 5617.1.2 Operation with a Differential InputVoltage 565xi7.1.3 Large-Signal Operation 5667.2 Small-Signal Operation of the MOSDifferential Pair 5717.2.1 Differential Gain 5717.2.2 The Differential Half-Circuit 5737.2.3 The Differential Amplifier withCurrent-Source Loads 5757.2.4 Cascode Differential Amplifier 5767.2.5 Common-Mode Gain and CommonMode Rejection Ratio (CMRR) 5777.3 The BJT Differential Pair 5847.3.1 Basic Operation 5857.3.2 Input Common-Mode Range 5877.3.3 Large-Signal Operation 5887.3.4 Small-Signal Operation 5907.3.5 Common-Mode Gain and CMRR 5967.4 Other Nonideal Characteristics of theDifferential Amplifier 6017.4.1 Input Offset Voltage of the MOSDifferential Pair 6017.4.2 Input Offset Voltage of the BipolarDifferential Amplifier 6047.4.3 Input Bias and Offset Currents of theBipolar Differential Amplifier 6067.4.4 A Concluding Remark 6077.5 The Differential Amplifier with ActiveLoad 6077.5.1 Differential to Single-EndedConversion 6087.5.2 The Active-Loaded MOS DifferentialPair 6097.5.3 Differential Gain of the ActiveLoaded MOS Pair 6107.5.4 Common-Mode Gain andCMRR 6137.5.5 The Bipolar Differential Pair withActive Load 6167.6 Multistage Amplifiers 6237.6.1 A Two-Stage CMOS Op Amp 6237.6.2 A Bipolar Op Amp 629Summary 638Problems 6398 Frequency Response656Introduction 6578.1 Low-Frequency Response of theCommon-Source and Common EmitterAmplifiers 6598.1.1 The CS Amplifier 6598.1.2 The CE Amplifier 664

.2 Internal Capacitive Effects and the HighFrequency Model of the MOSFET and theBJT 6718.2.1 The MOSFET 6718.2.2 The BJT 6761.3 High-Frequency Response of the CS andCE Amplifiers 6818.3.1 The Common-Source Amplifier 6828.3.2 The Common-Emitter Amplifier 6871.4 Useful Tools for the Analysis of theHigh-Frequency Response ofAmplifiers 6918.4.1 The High-Frequency GainFunction 6918.4.2 Determining the 3-dB Frequency/я 6918.4.3 Using Open-Circuit Time Constantsfor the Approximate Determinationof/H 6948.4.4 Miller's Theorem 6971.5 A Closer Look at the High-FrequencyResponse of the CS and CE Amplifiers 7018.5.1 The Equivalent Circuit 7018.5.2 Analysis Using Miller'sTheorem 7028.5.3 Analysis Using Open-Circuit TimeConstants 7058.5.4 Exact Analysis 7078.5.5 Adapting the Formulas for the Caseof the CE Amplifier 7118.5.6 The Situation when R,ic is Low 7121.6 High-Frequency Response of the CG andCascode Amplifiers 7168.6.1 High-Frequency Response of the CGAmplifier 7168.6.2 High-Frequency Response of theMOS Cascode Amplifier 7208.6.3 High-Frequency Response of theBipolar Cascode Amplifier 7251.7 High-Frequency Response of the Sourceand Emitter Followers 7268.7.1 The Source Follower 7268.7.2 The Emitter Follower 728).8 High-Frequency Response of DifferentialAmplifiers 7308.8.1 Analysis of the Resistively LoadedMOS Amplifier 7308.8.2 Analysis of the Active-Loaded MOSAmplifier 735!.9 Other Wideband AmplifierConfigurations 7408.9.1 Obtaining Wideband Amplificationby Source and EmitterDegeneration 7408.9.2 The CD-CS, CC-CE and CD-CEConfigurations 7438.9.3 The CC-CB and CD-CGConfigurations 7478.10 Multistage Amplifier Examples 7498.10.1 Frequency Response of the TwoStage CMOS Op Amp 7508.10.2 Frequency Response of the BipolarOp Amp of Section 7.6.2. 753Summary 754Problems 7559 Feedback770Introduction 7719.1 The General Feedback Structure 7729.2 Some Properties of NegativeFeedback 7779.2.1 Gain Desensitivity 7779.2.2 Bandwidth Extension 7789.2.3 Interference Reduction 7799.2.4 Reduction in NonlinearDistortion 7819.3 The Four Basic Feedback Topologies 7829.3.1 Voltage Amplifiers 7829.3.2 Current Amplifiers 7849.3.3 Transconductance Amplifiers 7879.3.4 Transresistance Amplifiers 7899.3.5 A Concluding Remark 7909.4 The Feedback Voltage-Amplifier (SeriesShunt) 7919.4.1 The Ideal Case 7919.4.2 The Practical Case 7939.4.3 Summary 7959.5 The Feedback TransconductanceAmplifier (Series-Series) 8029.5.1 The Ideal Case 8029.5.2 The Practical Case 8049.5.3 An Important Note 8049.6 The Feedback Transresistance-Amplifier(Shunt-Shunt) 8149.6.1 The Ideal Case 8149.6.2 The Practical Case 8169.6.3 Summary 8239.7 The Feedback Current-Amplifier (ShuntSeries) 8239.7.1 The Ideal Case 8239.7.2 The Practical Case 824

Contents9.8Summary of the Feedback AnalysisMethod 8319.9 Determining the Loop Gain 8319.9.1 An Alternative Approach forFinding Aß 8339.9.2 Equivalence of Circuits from aFeedback-Loop Point of View 8349.10 The Stability Problem 8369.10.1 The Transfer Function of theFeedback Amplifier 8369.10.2 The Nyquist Plot 8379.11 Effect of Feedback on the AmplifierPoles 8389.11.1 Stability and Pole Location 8399.11.2 Poles of the FeedbackAmplifier 8409.11.3 Amplifier with a Single-PoleResponse 8409.11.4 Amplifier with a Two-PoleResponse 8419.11.5 Amplifier with Three or MorePoles 8459.12 Stability Study Using Bode Plots 8479.12.1 Gain and Phase Margins 8479.12.2 Effect of Phase Margin onClosed-Loop Response 8489.12.3 An Alternative Approach forInvestigating Stability 8499.13 Frequency Compensation 8529.13.1 Theory 8529.13.2 Implementation 8539.13.3 Miller Compensation and PoleSplitting 854Summary 858Problems 85810.210.310.410.5ШЗМШ ANALOG INTEGRATEDCIRCUITS10.610 Operational AmplifierCircuits 874Introduction 87510.1 The Two Stage CMOS Op Amp 87610.1.1 The Circuit 87710.1.2 Input Common-Mode Range andOutput Swing 87710.1.3 Voltage Gain 87810.1.4 Common-Mode Rejection Ratio(CMRR) 88110.7xiii10.1.5 Frequency Response 88110.1.6 Slew Rate 88410.1.7 Power-Supply Rejection Ratio(PSRR) 88610.1.8 Design Trade-offs 887The Folded Cascode CMOS Op Amp 89110.2.1 The Circuit 89110.2.2 Input Common-Mode Range andOutput Swing 89310.2.3 Voltage Gain 89410.2.4 Frequency Response 89610.2.5 Slew Rate 89710.2.6 Increasing the Input CommonMode Range: Rail-to-Rail InputOperation 89910.2.7 Increasing the Output VoltageRange: The Wide-Swing CurrentMirror 900The 741 Op-Amp Circuit 90210.3.1 Bias Circuit 90210.3.2 Short-Circuit ProtectionCircuitry 90410.3.3 The Input Stage 90410.3.4 The Second Stage 90410.3.5 The Output Stage 90510.3.6 Device Parameters 905DC Analysis of the 741 90610.4.1 Reference Bias Current 90710.4.2 Input-Stage Bias 90710.4.3 Input Bias and Offset Currents 91010.4.4 Input Offset Voltage 91010.4.5 Input Common-Mode Range 91010.4.6 Second-Stage Bias 91110.4.7 Output-Stage Bias 91110.4.8 Summary 912Small-SignalAnalysisofthe741 91310.5.1 The Input Stage 91310.5.2 The Second Stage 91910.5.3 The Output Stage 922Gain, Frequency Response, and Slew Rateof the 741 92610.6.1 Small-Signal Gain 92610.6.2 Frequency Response 92710.6.3 A Simplified Model 92810.6.4 Slew Rate 92910.6.5 Relationship Between andSR 930Modern Techniques for the Design of BJTOp Amps 93110.7.1 Special PerformanceRequirements 931

xivContents10.7.2 Bias Design 93310.7.3 Design of Input Stage to ObtainRail-to-Rail VICM 93510.7.4 Common-Mode Feedback toControl the DC Voltage at theOutput of the Input Stage 94110.7.5 Output-Stage Design for NearRail-to-Rail Output Swing 945Summary 950Problems 95111 Filters and Tuned Amplifiers958Introduction 95911.1 Filter Transmission, Types, andSpecification 96011.1.1 Filter Transmission 96011.1.2 Filter Types 96111.1.3 Filter Specification 96111.2 The Filter Transfer Function 96411.3 Butterworth and Chebyshev Filters 96711.3.1 The Butterworth Filter 96711.3.2 The Chebyshev Filter 97111.4 First-Order and Second-Order FilterFunctions 97411.4.1 First-Order Filters 97511.4.2 Second-Order Filter Functions 97511.5 The Second-Order LCR Resonator 98311.5.1 The Resonator Natural Modes 98311.5.2 Realization of TransmissionZeros 98411.5.3 Realization of the Low-PassFunction 98411.5.4 Realization of the High-PassFunction 98611.5.5 Realization of the BandpassFunction 98611.5.6 Realization of the NotchFunctions 98611.5.7 Realization of the All-PassFunction 98811.6 Second-Order Active Filters Based onInductor Replacement 98911.6.1 The Antoniou InductanceSimulation Circuit 98911.6.2 The Op Amp-RC Resonator 99011.6.3 Realization of the Various FilterTypes 99211.6.4 The All-Pass Circuit 99311.7 Second-Order Active Filters Based on theTwo-Integrator-Loop Topology 99711.7.1 Derivation of the Two-IntegratorLoop Biquad 99711.7.2 Circuit Implementation 99911.7.3 An Alternative Two-IntegratorLoop Biquad Circuit 100111.7.4 Final Remarks 100211.8 Single-Amplifier Biquadratic ActiveFilters 1

Microelectronic Circuits Adel S. Sedra University of Waterloo Kenneth C. Smith University of Toronto New York Oxford OXFORD UNIVERSITY PRESS 2011 . CONTENTS Preface xix ВДЗД DEVICES AND BASIC CIRCUITS 1 Electronics and Semiconductors 2 Introduction 3 1.1 Signals 4 1.2 Frequency Spectrum of Signals 7

Related Documents:

Microelectronic Devices and Circuits Charles G. Sodini Peter Hagelstein, Judy Hoyt Shawn Kuo, Min Park, Colin Weltin-Wu. Lecture 1 - 6.012 overview February 1, 2005 . - Digital circuits (mainly CMOS) - Analog circuits (BJT and MOS) The interaction of devices and circuits. Title: Microsoft PowerPoint - SP05.Lecture1.ppt

GBV. Increase public awareness of GBV by moving away from the mere 16 days of activism to a robust 365 days campaign against GBV and encourage every citizen to take specific steps to prevent GBV in both the private and public arena. In light of the recommendations made the Government UN GBV JP facilitated a one day workshop

Contemporary Electric Circuits, 2nd ed., Prentice-Hall, 2008 Class Notes Ch. 9 Page 1 Strangeway, Petersen, Gassert, and Lokken CHAPTER 9 Series–Parallel Analysis of AC Circuits Chapter Outline 9.1 AC Series Circuits 9.2 AC Parallel Circuits 9.3 AC Series–Parallel Circuits 9.4 Analysis of Multiple-Source AC Circuits Using Superposition 9.1 AC SERIES CIRCUITS

managing a GBV program with an NGO or coordinating a GBV working group. GBV coordinators can and are recruited without GBV program management experience and sometimes move from coordination into program management positions. Many GBV Program Managers do not move

The Gender Based Violence (GBV) conference will bring together partners working in the GBV Sector; Government Department stakeholders; representatives from donors in the Development Sector, SANAC and the Global Fund. The conference will focus on: The State's Response in dealing with GBV Exploring the link between GBV & HIV

Rochester Institute of Technology 12 Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING SPICE Model Parameters for RIT MOSFET’s Dr. Lynn Fuller Microelectronic Engineering Rochester Institute of Technology 82 Lomb Memorial Drive Roche

Rochester Institute of Technology Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to LTSPICE Dr. Lynn Fuller Electrical and Microelectronic Engineering Rochester Institute of Technology 82 Lomb Memorial Drive Roche

Introduction A description logic (DL) knowledge base (KB) consists of a terminological box (TBox), storing conceptual knowledge, and an assertion box (ABox), storing data. Typical applica-tions of KBs involve answering queries over incomplete data sources (ABoxes) augmented by ontologies (TBoxes) that provide additional information about the domain of interest as well as a convenient .