CAL2: Computer Aided Learning In Computer Architecture Laboratory

1y ago
19 Views
3 Downloads
913.95 KB
17 Pages
Last View : 3d ago
Last Download : 3m ago
Upload by : Gideon Hoey
Transcription

2CAL : Computer AidedLearning in ComputerArchitecture LaboratoryJOVAN DJORDJEVIC,1 BOSKO NIKOLIC,1 TANJA BOROZAN,1 ALEKSANDAR MILENKOVIĆ21Computer Engineering Department, Faculty of Electrical Engineering, University of Belgrade, Belgrade, Serbia2Electrical and Computer Engineering Department, The University of Alabama in Huntsville, Huntsville, AlabamaReceived 6 October 2006; accepted 27 December 2006ABSTRACT: Computer architecture courses are crucial core courses in computerengineering, electrical engineering, and computer science programs. Dramatic changes intechnology, markets, and computer applications create a quite unique and challengingarena for computer architecture instructors and students. The goal is to provide learningenvironments that will offer hands-on experience and nurture rapid learning, yet be intuitiveand interesting to students. In this paper we discuss the challenges in teaching suchcourses and present a very flexible educational environment for teaching and learning ofcomputer architecture and organization (CAL2). The CAL2 encompasses a number of softwaretools that are used both in laboratory settings and at home during self-study. The CAL2 allowsstudents to write and execute their own assembly language programs, ‘experience’ programexecution through graphic simulation and animation, inspect implementation details down tothe register transfer level, display timing diagrams, and test their knowledge. In addition, theCAL2 offers a number of features that help instructors define, configure, manage, andadminister the laboratory exercises.ß 2008 Wiley Periodicals, Inc. Comput Appl Eng Educ; Publishedonline in Wiley InterScience (www.interscience.wiley.com); DOI 10.1002/cae.20141Keywords: computer architecture; educational software; simulation; visualization andcomputer graphics; computer uses in classroomsINTRODUCTIONFor barely more than a half of a century computersystems have changed almost every aspect of humanlife—the way people live, work, and communicate.Spurred by the continual semiconductor technologyCorrespondence to B. Nikolic (bosko.nikolic@etf.bg.ac.yu).ß 2008 Wiley Periodicals Inc.advancement, the computer systems have spreadfrom a few highly sophisticated research laboratoriesto virtually all offices, homes, and classrooms.Microprocessors, the brains of each computer system,have evolved from an integrated circuit with2,300 transistors in 1970 to the one with almost abillion of transistors on a single chip in 2005. Theunprecedented growth in computer system performance and their commoditization allowed computer1

2DJORDJEVIC ET AL.applications we could not dream of or afford just adecade ago. Recent trends toward intertwining ofcomputer engineering with other disciplines, such ascommunications, television, transportation, sensors,security, medicine, will lead to ‘‘smart’’ homes,‘‘smart’’ cars, ‘‘smart’’ appliances, and ubiquitoushealth monitoring systems. The computer systemswill become more diverse and application-specific,requiring more skilled computer engineers. To meetthis challenge, higher education institutions shoulddevelop new educational environments that providehands-on experience and nurture rapid learningprocesses, exposing the students early to the basicsof computer engineering.Courses in computer architecture and organization are crucial core courses in Computer Engineering, Computer Science, and Electrical Engineeringprograms. In addition, some forms of such coursesare also present in other academic programs such asInformation Management, Business Administration,Economy, etc. Introductory courses in ComputerArchtecture usually focus on computer systemsarchitecture, typical of what can be found in apresent day desktop computer with three maincomponents: processor(s), main memory, and I/Osubsystem. A recent trend at computer science andengineering schools has been to expose the students tosuch a course early in their education, typically at thefreshmen level. The introductory courses usuallyfollow the organization of computer systems introducing basic principles of assembly language programming, processor architecture and organization,main memory, I/O subsystem, and buses. Topics inprocessor architecture include the programmableregisters, data types, instruction formats, addressingmodes, instruction types and interrupt mechanism,while the processor organization covers varioustechniques for realizing the processor. Topics inmemory include memory organization, memoryhierarchies, and important metrics such as latencyand bandwidth. Topics in input/output subsystemscover the structure of the peripheral devices’ controllers, without and with direct memory access, aswell as the input/output techniques. Bus considerations include bus organization, arbitration, and bustransactions (Fig. 1).One of the most challenging questions foreducators is how to efficiently teach computerarchitecture and demystify the magic surroundingthe operation of modern computer systems and showthe students how binary instructions and simple gatescan lead to useful computation. Computer systemscontain many high-complexity integrated circuits thatcommunicate at very high speeds, making them one ofFigure 1 Organization of a present-day computer system.[Color figure can be viewed in the online issue, which isavailable at www.interscience.wiley.com.]the most advanced human-engineered structures. Tomanage extreme complexity engineers and designershave relied on levels of abstraction separatedwith well-defined interfaces. Educators use a similarapproach in teaching computer architecture uncovering layers of abstractions as shown in Figure 2.However, the problem of cognitive leap from blackboard description and computer system utilizationremains an open issue. Another problem is thatintroductory courses in computer architecture usuallyhave large enrolments, making it impossible toaccommodate all of the students’ questions andFigure 2 Computer system architectures: A layered viewwith interfaces; proposed by Glenford Myers (1982). [Colorfigure can be viewed in the online issue, which is available atwww.interscience.wiley.com.]

COMPUTER AIDED LEARNINGconcerns, and putting additional strains to oftenlimited educational resources.To address above mentioned issues we havedeveloped an integrated computer architecture laboratory called CAL2 that features a flexible, hierarchical, graphical simulator of an educational computersystem (SimECS) and a web-based software systemfor Computer Architecture Learning and Self-Testing(CALKAS). The educational computer system (ECS)is designed to demonstrate a broad spectrum oftopics typically taught in lower-division computerarchitecture courses. The graphical simulator supportsanimation of instruction execution down to the clockcycle level and hierarchical visual presentation from ablock level down to the standard combinational andsequential circuits. The CALKAS system serves tohelp learning in computer architecture, knowledgeassessment, and laboratory exercise management.The rest of the paper is organized as follows. TheBackground and Motivation section gives a shortoverview of the existing tools proposed and used incomputer architecture education and provides arational behind our decision to develop SimECS andCALKAS. The Educational Computer System (ECS)section describes architecture and organization of theproposed educational computer system. The SimECSVisual Simulator section describes software simulatorfunctionality and its user interface. The LaboratoryExercises section presents a set of possible laboratoryexercises that demonstrate SimECS capabilities. TheComputer Architecture Laboratory Session sectiondescribes a typical laboratory session. Finally, aConclusions section closes the paper.BACKGROUND AND MOTIVATIONComputer architecture laboratories often rely onsoftware simulators of pedagogical computer systems.A number of software tools targeting teachingand learning in introductory courses in computerarchitecture have been proposed and developed. Theydiffer greatly in scope and complexity (rudimentary,medium, complex), type of instruction set (commercial or custom), simulation presentation (text, graphical), simulation granularity (program, instruction,clock), and level of details. The representativesimulators are DLXview [1], ESCAPE [2], Rudimentary Machine (RM) [3], ASF [4], HASE [5], EasyCPU[6], SimpleScalar [7], ANT [8], RSIM [9], andDigLC2 [10].Educators developing an educational computersystem simulator face a number of different, often3contradictory requirements. Allowing students to experience and see intricacies of a computer system andits inner workings can significantly improve theirlearning experience and help them to grasp sometimesdifficult concepts of computer engineering. Ideally,the simulators would satisfy the most of the followingrequirements.(1) Support practical examples for a wide range ofrelevant topics.(2) Allow students to write their own assemblyand/or high-level programming language programs and translate them.(3) Allow students to follow program or instruction execution by means of graphical simulation and visualization.(4) Allow students to see how a certain part of thesystem is actually implemented.(5) Allow students to engage in on-line activelearning.(6) Allow students to test their knowledge andobserve the progress in the course.(7) Allow instructors easy preparation of laboratory experiments and assignments.(8) Allow instructors easy administering of thelaboratory experiments and monitoring ofstudent’s progress in the course.Table 1 gives an overview of the existingsystems and their characteristics with the respect tothe above-mentioned requirements.As a result of a critical analysis of the existingsimulators we found that none of them meets entirelythe above stated requirements. Some of them, likeHASE and ASF, do not show the computer system atthe level of combinational and sequential circuits,while the others, like ESCAPE, RM, EasyCPU, andDigLC2, do not cover all the topics lectured.Simulators like ANT, and ASF are the non-graphicones and do not present the functioning of thecomputer system in the form suitable to follow, andthose like RSIM and DLXview do not demonstrate thebasic but very sophisticated issues. Consequently, wedecided to venture into the development of a systemfor computer-aided learning in computer architecturelaboratory (CAL2). We have developed severalgenerations of the CAL2 system, varying the typeand organization of the educational computer system;type and implementation of the processor, memorysystem, and I/O peripherals; functionality of visualsimulators; and user interface [11,12]. However,the educational systems presented earlier in [11,12]are typically tailored for more advanced courses inElectrical and Computer Engineering programs, and

4DJORDJEVIC ET AL.Table 1 Assessment of the Existing Educational Computer Systems for Their Pedagogical wLowLowLowLowLowLowLowLowLowoften are not suitable for introductory courses due totheir complexity.In this paper we describe an educational computer system (ECS), graphical software simulator forit (SimECS), and a Web-based system for learning andknowledge assessment (CALKAS). The educationalcomputer system is designed to closely followcommon topics in introductory computer architecturecourses and includes a whole computer system—processor, memory, I/O subsystem, and bus arbitrator.For each component, a detailed register transfer level(RTL) description is provided. Figure 3 illustrates thecomputer system hierarchy, starting from the systemcomponents, via the register transfer level description,down to the gate-level description.A Chinese proverb says ‘‘I hear, I forget; I see, Iremember; I do, I understand.’’ In this spirit, theSimECS simulator supports animation of instructionexecution and allows students to write their ownassembly programs, translate them, interactivelyFigure 3 Computer system hierarchy. [Color figure can beviewed in the online issue, which is available at www.interscience.wiley.com.]initialize processor’s registers, run the simulation,and examine timing diagrams of selected signals,values of registers, and memory locations. Thesimulator gives graphical presentation of all parts ofthe computer system, both at the level of computersystem modules (Fig. 3, left top) and at the registertransfer level (Fig. 3, top right). A simulation runcan be done at the level of a clock, an instruction, or acomplete program.The web-based system for learning and knowledge assessment—CALKAS [13] is developed due tothe following reasons. A large number of studentstaking the laboratory exercises imposed the need for asoftware package, which would automate the assessment of students’ knowledge and keep evidence abouteach laboratory exercise for each student. In additionto that, this software package had to offer the possibility of self-learning of various topics in computerarchitecture and organization as a help in the processof preparation for the laboratory exercises and theexam itself. Finally, the software package had to beopen for its integration with the visual simulator andthe student database maintained for the whole college.The practical work with the educational systemtakes place in the laboratory where the students carryout a set of laboratory exercises. The students preparefor each laboratory exercise at home by studying thedesign of a particular part of the computer systemfrom the reference manual and online materials(Fig. 4); using a self-testing feature of the CALKASsystem, they can test their knowledge before takinga laboratory exercise. A typical laboratory sessionstarts with a laboratory test; this test is designedto determine whether students are prepared forthe laboratory or not. In each laboratory exercisethe students are asked to solve practical problemsby following the functioning of the computersystem using the simulator and the accompanyingtools. Concurrently using CALKAS they answer the

COMPUTER AIDED LEARNING5Figure 4 Active learning in computer architecture laboratory. [Color figure can be viewed in theonline issue, which is available at www.interscience.wiley.com.]questions related to their problem or submit theirsolutions.ECS: EDUCATIONAL COMPUTER SYSTEMThe educational computer system is similar to theEDCOM described in Ref. [12], and encompassesthe following components: a processor (CPU), amemory (MEM), an input/output subsystem (I/O) andan asynchronous bus with an arbiter (ARB). Theprocessor features a CISC-like instruction set; a richset of programmable registers including data registers,base, index, and address registers; and special purposeregisters, such as the program counter, the stackpointer, the program status word, the accumulator, andthe interrupt mask register. The data types supportedare 8-bit signed and unsigned integers. The instructionformat is the one-address one with the instructionlength of 1, 2, 3, and 4 bytes. The addressing modesare the register direct, register indirect, memorydirect, memory indirect, base, index, base index,relative, register indirect with auto increment and autodecrement and immediate. The instruction typesare the transfer, arithmetic, logic, shift, rotate, andcontrol instructions. The interrupt mechanism is thevectored one with internal and external interrupts. Theprocessor organization features separate units foreach of the instruction execution phases. Thus, theprocessor is made up of the instruction and operandfetch unit (IF); two execution units for the integeroperations execution (IE) and the control operationsexecution (IC); and the interrupt servicing unit (IS).These units use the memory interface unit (MI) for thebus arbitration and the read, write and interrupt vectornumber acquisition cycles. Each of the units is madeup of the processing and control units. The controlunits are realized by using the hardwired technique.The memory capacity is 64 Kb. The input/outputsubsystem contains 4 input/output units, eachconsisting of a peripheral device and a controller. Aperipheral device is simulated as an array of 16bytes that can be read from or written to with thedefinable access time. The controllers are one nondirect memory access (non-DMA), one direct memoryaccess (DMA) and two dummy (IO1 and IO2) ones.The non-DMA controller is a slave interface for theprocessor controlled device/memory and memory/device data transfers. The DMA controller is a masterinterface, which takes part in the bus arbitration andorganizes the device/memory, memory/device andmemory/memory single-byte or burst data transfers.The dummy controllers take part only in the busarbitration and keep the bus busy for a definableperiod of time, but do not perform any data transfer.The registers of the input/output subsystem arememory mapped. The asynchronous bus interconnects the computer system modules with the addresslines (ABUS), the data lines (DBUS) and the controllines (RDBUS, WRBUS, and FCBUS). A mastermodule initiates a read cycle or a write cycle withthe active signal on the RDBUS line or the WRBUSline, respectively. The processor can, also, initiate aninterrupt vector number acquisition cycle from eitherthe non-DMA controller or the DMA controller withan active signal on either the inta1 line or the inta2line, respectively. The slave module indicates thecompletion of a bus cycle with an active signalon the FCBUS line. A bus cycle is preceded bythe arbitration between the bus masters, which areconnected with the bus arbiter (ARB) with pairs of thebus request (brq) and the bus grant (grant) lines.

6DJORDJEVIC ET AL.SimECS VISUAL SIMULATORThe visual simulator features tools for simulatorinitialization and simulation run. The introductorySimECS screen allows initialization, resumption ofan earlier stopped simulation, or a new simulationstart (Fig. 5a). The initialization allows the user todefine the clock rates for the system modules andthe access times of the peripheral devices and thememory, examine and set the values of memorylocations and registers of the processor and input/output units, and select signals for which the timingdiagrams will be drawn. In order to allow instructorsflexibility in preparing examples, the initializationstep can be carried out in several ways: as a completeinitialization from a predefined file—for example,by selecting one of seven exercises (Fig. 5b), as apartial initialization from a file—separately for eachcomponent of the ECS (Fig. 5c), or as an interactiveinitialisation—by direct change of values of registersand memory locations (Fig. 6a). There is also apossibility to write a program in the assemblylanguage, translate it and load into the memory. Oncethe values of either the registers in the processor or inthe input/output units or the memory locations havebeen manually changed, the new simulation statecan be saved in a file and later used for the partialinitialization from files. There are also separatescreens for a manual specification of the clock ratesof the computer system modules and a selection ofsignals for which the timing diagrams should bedrawn (Fig. 6b).The simulator supports the simulation of instruction execution at the level of a clock, an instruction, ora complete program with the visual presentation of allcomponents of the computer system. The simulationscreen consists of four windows (Fig. 7): The clocksignals window (left top part of the screen), the globalpresentation window (left middle), the detailedpresentation window (right top and middle), and theinfo and command window (bottom).The clock signals window shows a few cycles ofthe computer system modules’ clock signals, following the last clock signal that has occurred. The redvertical line points out to the first next clock signalthat will occur in one of the computer systemmodules. On the right hand side of the red line areshown a few clock signals of the computer systemmodules in the order of their occurrence. This allowsone to locate where the next clock signals are goingto occur and examine the values of the appropriatesignals before the clock signals occur and produce thechange of their values.The global presentation window gives either theblock structure of the computer system, if in thedetailed presentation window is shown any othermodule except the processor (Fig. 7), or the blockstructure of the processor, if in the detailed presentation window is shown the processor (Fig. 8). Theblocks are in both cases realized as buttons, offeringthe users possibility to navigate through the modulesof the computer system. The switchover from theblock structure at the level of the computer system’smodules (Fig. 7) to the block structure at the levelof the processors’s units (Fig. 8) can be realized bymouse click on the CPU button. The oppositeswitchover can be realized by clicking button X inthe upper left hand corner.The detailed presentation window gives thedetailed structure of the currently active computersystem’s module: MEM, ARB, DMA, non-DMA,IO1, and IO2 (Fig. 7); or the processors’s units, IF, MI,IE, IC, and IS (Fig. 8). The computer system’smodules and the processor’s units that are not activeare closed and shown as buttons with the names of themodules or the units, respectively. If a user clicks atFigure 5 Simulator start and initialization. (a) Main Window; (b) complete initialization; (c)partial initialization. [Color figure can be viewed in the online issue, which is available atwww.interscience.wiley.com.]

COMPUTER AIDED LEARNINGFigure 6 (a) Interactive examination and change of values of the processor’s registers and savingin a file; (b) specification of the clock rates of the computer system’s modules. [Color figure can beviewed in the online issue, which is available at www.interscience.wiley.com.]Figure 7 A SimECS simulation screen. The global simulation window shows the block structure atthe level of the computer system’s modules. [Color figure can be viewed in the online issue, which isavailable at www.interscience.wiley.com.]7

8DJORDJEVIC ET AL.Figure 8 Block structure at the level of the processor’s units. [Color figure can be viewed in theonline issue, which is available at www.interscience.wiley.com.]one of these buttons, the detailed presentation ofthe corresponding computer system’s module or theprocessor’s unit opens. The detailed presentationof any of the computer system’s module or theprocessor’s unit can be closed and shown as a buttonby clicking button X in the upper right hand corner.This offers another possibility to navigate throughparts of the computer system. In addition to that, thedetailed presentations of the computer system’smodules or the processor’s units are opened andclosed during the simulation in the same order as aninstruction goes through them for various phases of itsexecution. The levels of details are sequential andcombinational modules presented as blocks with inputand output signals. A single signal is presented with athin line in blue, red, or green depending on whetherthe signal on the line is inactive, active, or in the stateof high impedance. Groups of signals are presentedwith thick lines in green, if they are in the state of highimpedance and in gray and hexadecimal valuesotherwise. When the scheme of the computer system’smodule or processor’s unit presented in the detailedpresentation window contains too many elementsthat can not be shown on the screen, the detailedpresentation window has the vertical and horizontalscrollbars that allow users to move around the scheme.The info and command window gives informationabout the state of simulation (on the left hand side)and makes it possible to examine the result of thesimulation and control the simulation (the right handside). The info window contains the sequence windowand the status buttons. The sequence window gives thevalues of the control unit step counter, the controlsignals generated in that step and a brief explanationof the microoperations that are going to be executed inthat step. The status buttons PC, T and Tclk show thecurrent values of the program counter, the controlunit step counter and the number of processor’s clockselapsed, respectively. The command window containsthe simulation, miscellaneous, and start/exit command buttons. The simulation buttons Clkþ, Insþ,and Prgþ facilitate the continuation of the simulationfor one clock or as many clocks as is needed toexecute the current instruction or the completeprogram, respectively. The miscellaneous buttonsare Show, Clear and Help. The Show button opensthe window from where one can further select of oneof the windows that facilitate the interactive examination and change of values of the processor’sregisters, the input/output units’ registers (Fig. 6a)and the memory locations and the drawing of timingdiagrams of selected signals (Fig. 9a). The Clearbutton returns the simulation to the beginning. TheHelp button activates the help system which gives allinformation about the computer system and the visualsimulator (Fig. 9b). The Start/Exit buttons are Startand Exit. The Start button simulates the start of thecomputer system when either the power is turned onor the system reset button pressed. The Exit buttoncompletes the simulation with the possibility to save

COMPUTER AIDED LEARNING9Figure 9 (a) Timing diagram of signals; (b) HELP system. [Color figure can be viewed in theonline issue, which is available at www.interscience.wiley.com.]the current state of the simulation in a file and use thefile later to carry out the complete initialization fromthat file and resume the simulation.The practical work with the visual simulator of thecomputer system is organized through laboratoryexercises. They are made up of simple programscarefully prepared to demonstrate the characteristicsof interest. The students execute the programs andanswer questions related to some typical situations ofthe topic covered by the particular laboratory exercise.In addition to that in some cases the students are givenproblems, which they solve independently, write aprogram using the software tools and test it usingthe simulator. All laboratory exercises cover fourmajor areas in the field of computer architectureand organization: the processor architecture andorganization, the interrupt, the bus and the input/output. Their brief description is given in thefollowing.by the combinational and sequential circuits of theprocessing units. There are two groups of exercisesaimed at demonstrating all addressing modes andalgorithms of operations of all instruction types. Theexercises in the first group include the instructionswith simple algorithms of operations and all addressing modes available. They are the transfer instructions, the arithmetic addition, subtraction, increment,decrement and compare instructions, the logic AND,OR, XOR, and NOT instructions, the shift and rotateinstructions and the unconditional and conditionaljump instructions. The addressing modes included arethe short literal, register direct, register indirect,memory direct and memory indirect, then the base,index, base index and relative with 8- and 16-bitdisplacements and the register indirect with autoincrement and auto decrement and immediate. Theexercises in the second group include the instructionswith complicated algorithms of operations and simpleaddressing modes. They include the multiplicationand division with unsigned and signed integers, thepush on and the pop from the stack, and the jump toand the return from the subroutine.Processor Architecture and OrganizationInterruptThe processor architecture is demonstrated with anumber of exercises that illustrate programmableregisters, data types, instructions formats, addressingmodes, and instruction types. The interrupts do notappear in these exercises, since they are treated in aseparate group of exercises. The instructions areexecuted at the clock level and all processor unitsare involved. This allows one to follow the details ofthe processor organization by going through thesequence of control signals generated by the controlunits and examining the values of all signals generatedThe interrupt is demonstrated with a number ofexercises, where simple programs are executed both atthe clock and the instruction levels. The clock level isused to demonstrate the sequences of control signalsfor the interrupt handling phase of an instruction andthe execution phase of the return from interruptinstruction. Within the interrupt handling phase of anyinstruction, the program counter and the programstatus word registers are saved on the stack and thestarting address of the interrupt routine found andloaded into the program counter. The effect is a jumpLABORATORY EXERCISES

10DJORDJEVIC ET AL.to the interrupt routine. The return from interruptinstruction restores the values of the program statusword register and the program counter with the valuesfrom the stack. The effect is the return to the mainprogram. The instruction level is used to demonstratetopics such as the selective and complete masking ofinterrupt requests coming from the input/output units,the servicing of multiple interrupt requests, thenesting of interrupt requests, the mode of operationwhen a jump to the interrupt routine is being madeafter every instruction executed, the execution of theinterrupt instruction, etc. It is also demonstrated howthe starting address of an interrupt routine can befound by using the approaches with the interruptvector table, the polling of the input/output units andthe combination of both.BusThe exercises in this grou

developed an integrated computer architecture labo-ratory called CAL2 that features a flexible, hierarch-ical, graphical simulator of an educational computer system (SimECS) and a web-based software system for Computer Architecture Learning and Self-Testing (CALKAS). The educational computer system (ECS) is designed to demonstrate a broad .

Related Documents:

CAD/CAM Computer-Aided Design/Computer-Aided Manufacturing CADD Computer-Aided Design and Drafting CADDS Computer-Aided Design and Drafting System CADE Computer-Aided Design Equipment CADEX Computer Adjunct Data Evaluator-X CADIS Communication Architecture for Distributed Interactive Simulation CADMAT Computer-Aided Design, Manufacture and Test

Aided Machining became an acronym for Computer Aided Manufacturing (CAM). Earlier Computer Aided Manufacturing used to denote computer use in part-programming only. Today it means any non design function of manufacturing that is computer aided. In figure 2, CNC welding machine assisting in casting products. 1.3. Computer Aided Process Planning .

The computer aided technologies investigated are; computer aided design, computer aided manufacturing, computer integrated manufacturing, electronic data interchange, electronic point of sale, and internet. Findings from the analysis show that the applications were not equally . to higher value-added specialised textiles and fashion garments .

integrating Computer-Aided Design (CAD) and Computer-Aided Manufacturing (CAM). Consequently, a great deal of research has been devoted for developing Computer-Aided Process Planning (CAPP) systems that can automatically perform the task of process planning. A CAPP system, depending on the

A. Computer-aided welding fixture design workflow and step Various computer-aided fixture design (CAFD) systems have been developed through the years to assist the designer during the various stages of fixture design. A welding fixture is a fixture [7-10]. There are several main stages within the computer-aided welding

Department of Civil Engineering AUST CE 102: Computer Aided Drafting Page 1 Chapter 1 Computer Aided Drafting (CAD) The use of computer technology for the process of design documentation (i.e. drafting). CAD may be used to draft in 2D or 3D space. 2D User Interface (AutoCAD 2007) Figure 1.1: 2D User Interface Drop Down Menu

(CAM), material requirements planning (MRP), and computer-aided planning (CAP) Computer-aided process planning (CAPP) is the use of computer technology to aid in the process planning of a part or product, in manufacturing CAPP is the link between CAD and CAM in that it provides for the planning of the process to be used in

Q Lead/Follow was a major part of blueprint one. Is there any update on this? A Covered in webinar. Q Making accreditation easy and collaborative is fine, but how will Lloyd's coordinate the output from a management information (MI) perspective multiple systems, different ways of reporting MI and so could put additional burden on managing agents in costs and reporting. A The aim is to ensure .