Virtuoso Design Platform Update - Cliosoft

1y ago
10 Views
2 Downloads
5.28 MB
24 Pages
Last View : 9d ago
Last Download : 3m ago
Upload by : Warren Adams
Transcription

Virtuoso Design Platform UpdateYonatan Kliger, April-2019Custom IC, Application Engineer Director

Virtuoso Design PlatformA brief historyICADV12 30 Years of Continuous InnovationAdvanced NodeElectrically Aware DesignDesign ConstraintsOpen AccessAnalog Design EnvironmentParameterized CellsSchematic-Driven LayoutIC42 2018 Cadence Design Systems, Inc. All rights reserved.IC5IC6

Virtuoso IC Design PlatformRelease: What’s new? Two new Virtuoso releases– Virtuoso IC6.1.8– Virtuoso ICADVM18.1 Virtuoso IC6.1.8– Mature nodes and methodologies– Continue to be supported and enhancement Virtuoso ICADVM18.1–––––––3Advanced Node With Support Down To 5nmØ Comprehensive Advanced Node Support Across all Major FoundriesØ Advanced Statistical Algorithms Improves Analysis by 20%Ø Advanced Grid System, Improves Layout Efficiency by 3XAdvanced Design AutomationØ Enhanced Spectre Integration with 3X throughput ImprovementsØ Simulation Driven Layout For Robust Layout DesignØ Advanced Layout Automation & Concurrent Team DesignICADVM18.1Enhanced System Design PlatformØ Enables Heterogeneous System DesignØ Seamless Integration With Cadence SiP and Sigrity PlatformsØ Simultaneous Editing Across Multiple TechnologiesVirtuoso Advanced Methodologies releaseSupports wide spectrum of technologiesNew! Special support for advanced nodes down to 5nmNew! Advanced design automationNew! Expanded Virtuoso System Design Platform capabilitiesNew system design solutions: Virtuoso RF Solution, Virtuoso Photonics SolutionWill replace ICADV12.x release (ICADV12.x EoL)5 2018 Cadence Design Systems, Inc. All rights reserved. 2018 Cadence Design Systems, Inc. Cadence confidential.

Virtuoso Design Platform – Mixed-Signal & System Design SolutionsAdvanced design automationAdvanced FinFET ProcessesØ Enhanced Spectre Integration with 3X throughput ImprovementsØ Simulation-driven layout for robust layout designØ Advanced layout automation and concurrent team designAdvanced node with support down to 5nmØ Comprehensive advanced-node support across all major foundriesØ Advanced statistical algorithms improves analysis by 20%Ø Advanced grid system, improves layout efficiency by 3XAnalog & Mixed-SignalICADVM18.1Enhanced system design platformØ Enables heterogeneous system designØ Seamless integration with Cadence SiP and Sigrity platformsØ Simultaneous editing across multiple technologiesSimulation Driven DesignSystem Design48P&R Automation 2018 Cadence Design Systems, Inc. Cadence confidential.System Analysis 2018 Cadence Design Systems, Inc. All rights reserved.RF/mmWavePhotonicsAdvanced Packaging

Virtuoso ICADVM18.1 – Advanced Methodologies ReleaseWhat’s new?5 2018 Cadence Design Systems, Inc. All rights reserved.

Advanced design automation Enhanced Spectre Integration with 3X throughput Improvements Simulation-driven layout for robust layout design Advanced layout automation and concurrent team designAdvanced node with support down to 5nm Comprehensive advanced-node support across all major foundries Advanced statistical algorithms improves analysis by 20% Advanced grid system, improves layout efficiency by 3XEnhanced system design platform Enables heterogeneous system design Seamless integration with Cadence SiP and Sigrity platforms Simultaneous editing across multiple technologies6 2018 Cadence Design Systems, Inc. All rights reserved.ICADVM18.1

Virtuoso ADE Product SuiteThe right tool for the job Virtuoso ADE Explorer– Highly interactive, single testbench analyzer thatassists engineers at the earliest stages of circuitdesign Virtuoso ADE Assembler– Interactive, multi-testbench environment that isdesigned to pull together all the parts of the designand their various specs to begin centering thedesign for manufacturing Virtuoso Variation Option– Extensive statistical verification for designsrequiring high-sigma validation and for advancednodes Virtuoso ADE Verifier– Introduces a formal method for doing overallelectrical specification verification of analogcircuits7 2018 Cadence Design Systems, Inc. All rights reserved.

Virtuoso ADE Product SuiteYou can save it in a Plotting Template too! Enable sensible andpredictable manipulationof the sizing of subwindows Allow meaningful drag toempty space Provide the ability toeasily swap subwindows Choose a preferred gridsize (up to 6x8)8 2018 Cadence Design Systems, Inc. All rights reserved.

Virtuoso implementationSOS data mgmt.operations nativelyintegrated9 2018 Cadence Design Systems, Inc. All rights reserved.ClioSoft Confidential 2019 ClioSoft Inc.

2019 ClioSoft Inc.Feature rich design manager/browserPowerful way to navigateand operate on yourdesignRich filter and sortoptionsIcons propagate toindicate statusCustomize to displaydesired attributes ascolumnsSelect and operate onmultiple libraries, cells orviews (not single select as inLib Manager)10 2018 Cadence Design Systems, Inc. All rights reserved.Visualize and operateon design hierarchy

2019 ClioSoft Inc.Visual Design Diff (VDD)Invoke VDD to identifymodifications made toschematic/layoutIdentify additions,deletions, propertieschangedSelect versions to becomparedOption to ignorecosmetic differences11Visualize and compareentirecell hierarchy 2018 Cadence Design Systems, Inc. All rightsreserved.Step through thechanges

Introducing Quantus Smart ViewUp to 7X Faster than Current Extracted ViewUp to 7X Smaller Size than Extracted Viewand up to 3X Smaller than DSPF10X Faster Virtuoso ADE Netlisting Time12 2018 Cadence Design Systems, Inc. All rights reserved.

Virtuoso ICADVM18.1Introducing a new and advanced layout environment VirtuosoLayoutXL13 2018 Cadence Design Systems, Inc. All rights reserved.Layout Suite EXLLayoutEADAdvancedAutomation

Virtuoso Advanced Methodologies ICADVM18.1Introducing: Virtuoso Layout Suite EXL – An advanced layout environment Virtuoso Layout Suite XL– Connectivity- and constraint-driven layout environment– Subsumes all shape-based features, functions, and APIs Virtuoso Layout Suite EXL––––––––––––14New custom layout environment for advanced methodologiesSubsumes all Virtuoso Layout Suite XL features and functionsIncludes Virtuoso Layout Suite EAD features and plug-insIncludes selected Virtuoso Layout Suite GXL capabilities, ModGens,and pin-to-trunk routingIn-design RC extraction and EM-IR analysisNew! Concurrent layout editingNew! Custom rows infrastructure for advanced structured methodologyNew! Track-based and row-based automatic placement and routingNew! Layout planning and routing planning and analysisNew! Simulation-driven layout for planning and implementationNew! Quantus Field Solver integration into EAD*New! Editing support for RF module design and advanced packagingDesign-rules &Constraint DrivenSchematicDriven LayoutConcurrentLayout EditingCore LayoutEditingLayout XL Layout EXLWire EditorSmart ViasNew Binder /Improved Extractor 2018 Cadence Design Systems, Inc. All rights reserved.*requires additional licensing – next slide

Virtuoso ICADVM18.1To find out more 15 2018 Cadence Design Systems, Inc. All rights reserved.

Advanced design automation Enhanced Spectre Integration with 3X throughput Improvements Simulation-driven layout for robust layout design Advanced layout automation and concurrent team designAdvanced node with support down to 5nm Comprehensive advanced-node support across all major foundries Advanced statistical algorithms improves analysis by 20% Advanced grid system, improves layout efficiency by 3XEnhanced system design platform Enables heterogeneous system design Seamless integration with Cadence SiP and Sigrity platforms Simultaneous editing across multiple technologies16 2018 Cadence Design Systems, Inc. All rights reserved.ICADVM18.1

Virtuoso Advanced Node SolutionEcosystem update Over 150 customers using Virtuoso Advanced Node in production today Major growth in advanced node custom/analog space– Many new technology advancements and more in the works– Real opportunity to revolutionizing the custom/analog space More than just Tier 1 suppliers– Many new IP companies feeding advanced node ecosystem Certified for 20nm,16nm,12nm, 10nm, 7nmand now 5nm17 2018 Cadence Design Systems, Inc. All rights reserved.

Virtuoso Advanced-Node Layout DesignAddressing the challenges of advanced process technologies down to 5nm Unique multi-grid system for placement and routing Novel way to abstract complex design rules New! Automatic and assisted placers New! In-design fill New! Device-level, row-based routing New! 5nm constraints18 2018 Cadence Design Systems, Inc. All rights reserved.

Advanced design automation Enhanced Spectre Integration with 3X throughput Improvements Simulation-driven layout for robust layout design Advanced layout automation and concurrent team designAdvanced node with support down to 5nm Comprehensive advanced-node support across all major foundries Advanced statistical algorithms improves analysis by 20% Advanced grid system, improves layout efficiency by 3XEnhanced system design platform Enables heterogeneous system design Seamless integration with Cadence SiP and Sigrity platforms Simultaneous editing across multiple technologies19 2018 Cadence Design Systems, Inc. All rights reserved.ICADVM18.1

Virtuoso System Design PlatformCross-Platform Solution for the Next Wave of System Design(Analog, RF, and Digital PCB Design)20 2018 Cadence Design Systems, Inc. All rights reserved.PCBAllegro PCBSystem Design(Advanced IC Package/Module Design)OrbitIO Interconnect DesignerCadence SiPPackageSigrity Technologies(3D EM, SI, and PI)Virtuoso System Design Platform(Cross-Platform Planning and Optimization)(Schematic, Layout, ADE, and Simulation)ICVirtuoso and Spectre Solutions

New Virtuoso RF SolutionInnovations for the next wave of RFIC and RF module designRF module and RFIC co-design environmentEdit-in-Concert ️ – simultaneous editing acrossmultiple technologies with Multi-PDK supportSingle “golden” schematic for implementation,verification, and electromagnetic analysisSeamless integration of electromagnetic extractionand analysis solutionsInteroperability with Cadence SIP21 2018 Cadence Design Systems, Inc. All rights reserved.

Partnering for System Design EnablementThe New Cadence Virtuoso RF Solution and AXIEM 3D Planar EM SoftwareIntegrationTraditionally, each major stage in the IC development process has operated inisolation supported by a unique and dedicated set of design tools, models,languages and data formats, which can cause design failures due to the manualtranslation of data between numerous disjointed tools. To address this issue andstreamline the RFIC and RF module design flow, Cadence delivered the followingcapabilities within the new Virtuoso RF solution: RFIC and RF Module co-design: Provides a robust design environmentenabling simultaneous editing of multiple ICs on a complex RF module whilestreamlining design to manufacturing tasks Single “golden” schematic: Offers schematic-driven layout implementation,EM analysis and simulation and physical verification checks of RFIC and RFmodule design through a single schematic source, reducing design failures Smart electromagnetic (EM) simulation interface: Includes an integrationbetween the Cadence Sigrity PowerSI 3D EM Extraction Option and theVirtuoso RF Solution, which automates hours of manual work required to runcritical passive component and interconnect EM simulations so users can runmultiple in-design experimentsAs part of the collaboration between the two companies, the Cadence interfacehas been extended to include an integration with the AXIEM 3D planar EMsimulator, within the Cadence Virtuoso RF Solution design environment. TheAXIEM software’s fast solver technology readily addresses passive structures,transmission lines, large planar antenna and patch array problems with morethan 100,000 unknowns, providing the accuracy, capacity and speed engineersneed to help them ensure design integrity upon the first attempt. It alsoincorporates NI’s proprietary full-wave planar Method of Moments (MoM)technology that enables discrete- and fast-frequency sweeps.The integratedCadenceand NIDesignEM solutionsequipwith a variety of EM22 2018CadenceSystems,Inc. engineersAll rights reserved.analysis methods for designing RFICs and RF modules.RF Module and RFIC co-design environmentMulti-PDK Support and simultaneous editing acrossmultiple technologiesSingle “Golden” schematic for Implementation,verification and electromagnetic analysisSeamless Integration of electromagnetic extractionand analysis solutionsInteroperability with Cadence SIP4 2017 Cadence Design Systems, Inc.ICADVM18.1

Virtuoso RF Solution – RF Module and RFIC Co-Design EnvironmentGolden SchematicVirtuosoSchematicVirtuoso ADEUnified EM Analysis EnvironmentCommon EM ViewSigrity/AXIEMMultiple EM Analysis TechniquesQuantus RLCK23AXIEMMoMSigrity 3D EM 2018 Cadence Design Systems, Inc. All rights reserved.VirtuosoLayoutVirtuosoEM IntegrationCadence SiP Highly optimized designenvironment for RF module andRFIC design Single ”golden” schematic forLVS verification, simulation, andEM analysis Interoperability with CadenceSiP Layout Integrated electromagnetic (EM)extractors and simulators

2018 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at www.cadence.com/go/trademarks are trademarks or registered trademarks of Cadence DesignSystems, Inc. Accellera and SystemC are trademarks of Accellera Systems Initiative Inc. All Arm products are registered trademarks or trademarks of Arm Limited (or its subsidiaries) in the US and/or elsewhere. All MIPIspecifications are registered trademarks or service marks owned by MIPI Alliance. All PCI-SIG specifications are registered trademarks or trademarks of PCI-SIG. All other trademarks are the property of their respective owners.

-New!Layout planning and routing planning and analysis -New!Simulation-driven layout for planning and implementation -New!Quantus Field Solver integration into EAD -*New!Editing support for RF module design and advanced packaging Layout XL Layout EXL New Binder / Improved Extractor Concurrent Layout Editing Wire Editor Design-rules &

Related Documents:

For schematic-based designs, try the AMS Designer Virtuoso use model: AMS Designer Virtuoso use model For analog-centric designs, run the AMS Designer simulator from the Virtuoso Analog Design Environment (ADE) using the OSS netlister and irun. Both use models feature the simulation front end (SFE) parser, which is the same parser that

Cadence Virtuoso Logic Gates Tutorial rev: 2013 p. 4 . New Cell windows . Virtuoso Schematic Editing window . Add Components: With the 2x1AND cell schematic generated, you can now begin to design the AND gate using components in the ECE331 library. 6. In the Schematic Editing window, select Cr

Feb 24, 2021 · Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology . Prof. Sung Kyu Lim . Last Updated: 2/24/2021 . I. Setup for Cadence Virtuoso . 1. Copy the following files into your working directory cds.lib display.drf . lib.d

Apr 04, 2019 · conical burr coffee grinder. With the Virtuoso , it’s now easier than ever to make professional quality coffee. . motor is running, press the dial, and press again to resume. After 30 seconds of no activity in a paused grind, the unit will revert to the

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the created layout. The inverter layout is used as an example in the

"Add Library" or "Manage Libraries" with this product. What is Virtuoso Ensembles? Virtuoso Ensembles is an orchestral sample library that is designed primarily as a compositional and/or live performance tool, and works great for final mixing as well. It's a time-saver! Overview - Strings, Brass and Woodwind Solos:

Virtuoso Analog Design Environment

Std. 12th Economics Smart Notes, Commerce and Arts (MH Board) Author: Target Publications Subject: Economics Keywords: economics notes class 12, 12th commerce, 12th economics book , 12th commerce books, class 12 economics book, maharashtra state board books for 12th, smart notes, 12th std economics book , 12th economics book maharashtra board, 12th economics guide , maharashtra hsc board .