XPS Delta-Sigma Analog To Digital Converter (ADC) (v1.01a)

3y ago
35 Views
2 Downloads
748.42 KB
20 Pages
Last View : 7d ago
Last Download : 3m ago
Upload by : Rosemary Rios
Transcription

XPS Delta-Sigma Analog toDigital Converter (ADC) (v1.01a)DS587 December 2, 2009Product SpecificationIntroductionLogiCORE IP FactsWhen digital systems are used in real-worldapplications, it is often necessary to convert an analogvoltage level to a binary number. The value of thisnumber is directly or inversely proportional to thevoltage. The analog to digital conversion is realized inthe XPS Delta-Sigma ADC (XPS ADC) using DeltaSigma conversion technique. This soft IP core isdesigned to interface with the PLB (Processor LocalBus).Core SpecificsSpartan -3A/3A DSP, Spartan-3,Spartan-3E, AutomotiveSpartan 3/3E/3A/3A DSP, Spartan-6,Virtex -4 /4Q/4QV, Virtex-5/5FX,Virtex-6/6CXSupported DeviceFamilyResources UsedSee Table 13, Table 14, Table 15, Table 16, and Table 17.Provided with CoreDocumentationProduct SpecificationDesign File FormatsVHDLFeaturesConstraints FileEDK TCL Generated Connects as a 32-bit slave on PLB V4.6 buses of 32,64 or 128 bitsVerificationN/AInstantiation TemplateEDK Supports single beat transactions Selectable ADC resolution 16 entry deep data FIFODesign Tool RequirementsXilinx ImplementationToolsISE 11.4 or laterVerificationModelSim PE/SE 6.4b or laterSimulationModelSim PE/SE 6.4b or laterSynthesisXSTSupportProvided by Xilinx, Inc. 2007-2009 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE and other designated brands included herein are trademarks of Xilinx in the United States andother countries. The PowerPC name and logo are the registered trademarks of IBM Corp. and are used under license. All other trademarks are the property of theirrespective owners.DS587 December 2, 2009Product Specificationwww.xilinx.com1

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Functional DescriptionThe modules comprising the XPS ADC are shown in Figure 1 and described in the subsequent sections.The XPS ADC modules are: Interrupt Controller PLB Interface Module IPIC Interface ADCout Data FIFO Delta-Sigma DAC ADCX-Ref Target - Figure 1XPS ADCAgtRIP2INTC IrptADC Top ModuleInterruptControllerIP2Bus intrADCDelta SigmaDACDACoutSamplePLB v46PLBModuleInterfaceIPICInterfaceADCoutData FIFODS587 01 090809Figure 1: XPS ADC Block DiagramInterrupt Controller: The Interrupt Controller provides interrupt capture support for XPS ADC. TheInterrupt Controller is used to collect interrupts from XPS ADC by which XPS ADC requests theattention of the microprocessor.PLB Interface Module: The PLB Interface Module is a bi-directional interface between a user IP coreand the PLB bus standard. To simplify the process of attaching a XPS ADC to the PLB, the core makesuse of a portable, pre-designed bus interface called PLB Interface Module, that takes care of the businterface signals, bus protocols and other interface issues.IPIC Interface: The IPIC is a simple set of signals that connects the XPS ADC to the PLB InterfaceModule. This module generates the required read and write request signals by using the output signalsof the FIFO.ADCout Data FIFO: The ADCout Data FIFO is a 16-bit wide, 16 entry deep FIFO for storing theconverted analog values, i.e., a FIFO to store the ADCout values. The FIFO Non-empty signal2www.xilinx.comDS587 December 2, 2009Product Specification

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)interrupts the processor. The FIFO Non-empty interrupt will be set and remains set as long as ADCoutData FIFO is non-empty.Delta-Sigma DAC: The Delta-Sigma DAC is a high-speed single bit DAC that uses digital techniques.Using digital feedback, a string of pulses is generated. The average duty cycle of the pulse string isproportional to the value of the binary input. The analog signal is created by passing the pulse stringthrough an analog low-pass filter.Following standard practice, the Delta-Sigma DAC input (DACin) is an unsigned number with zerorepresenting the lowest voltage level. The analog voltage output is also positive only. A zero on DACinproduces zero volts at the output. All ones on DACin causes the output to nearly reach VCCO. For ACsignals, the positive bias on the analog signal can be removed with capacitive coupling to the load.Though the low pass filter can be driven with any of the Virtex or Spartan FPGA Select I/O outputstandards that both sink and source current, this design emphasizes the LVTTL standard.The Delta-Sigma DAC is one bit wider than the ADCout Register. This is required in order for thelowest numbered bit of the ADCout Register to be significant. When all of the bits have been sampled,the upper bits of the register feeding the Delta-Sigma DAC is transferred to the ADCout Register.Figure 2 is a block diagram of a Delta-Sigma DAC. The width of DACin can be configured by changingthe parameter C DACIN WIDTH. For simplicity, the block diagram depicts a Delta-Sigma DAC witha 9-bit DACin. The term “Delta-Sigma” refers to the arithmetic difference and sum, respectively. In thisimplementation, binary adders are used to create both the difference and the sum. Although the inputsto the Delta Adder are unsigned, the outputs of both adders are considered signed numbers. The DeltaAdder calculates the difference between the Delta-Sigma DACin and the current Delta-Sigma DACout.Because the Delta-Sigma DACout is a single bit, it is “all or nothing”; i.e., either all zeroes or all ones. Asshown in Figure 2, the difference will result when adding the input to a value created by concatenatingtwo copies of the most significant bit of the Sigma Latch with all zeros. This also compensates for thefact that Delta-Sigma DACin is unsigned. The Sigma Adder sums its previous output, held in the SigmaLatch, with the current output of the Delta Adder. Since the Delta Adder sums a value with the uppertwo bits as zeroes ({0,0,DACin}) with a value having all but the upper two bits as zeroes ({SL[10],SL[10], 0,0,0,0,0,0,0,0}), it has a trivial implementation of simply passing through the non-zero bits. Noactual adder is needed.The interface to VHDL Delta-Sigma DAC module in Figure 2 includes one output and three inputsignals as defined in Table 1.X-Ref Target - Figure chSL[10]DQ DACout11{SL[10], SL[10], 0, 0, 0, 0, 0, 0, 0, 0, 0}SL[10]Clk (Clock)Rst (Reset)DS587 02 090809Figure 2: Delta-Sigma DAC Internal Block Diagram (C DACIN WIDTH 9)DS587 December 2, 2009Product Specificationwww.xilinx.com3

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 1: Delta-Sigma DAC Interface SignalsSignalDirectionDescriptionClkInputPositive edge clock for the Sigma Latch and the DACout flip-flop.RstInputReset initializes the Sigma Latch and the DACout flip-flop. In this implementation,Sigma Latch is initialized to a value that corresponds to DACin of 0. If DACin startsat zero, there is no discontinuity.DACinInputDigital input bus. Value must be stable at the positive edge of Clk. For high-speedoperation, DACin should be sourced from a pipeline register that is clocked with Clk.For full resolution, each DACin value must be averaged over 2(C DACIN WIDTH)clocks, so DACin should change only on intervals of 2(C DACIN WIDTH) clock cycles.DACoutOutputPulse string that drives the external low pass filter (via an output driver such asOBUF F 24).The Analog to Digital Converter uses an external analog comparator which compares the input voltageto a voltage generated by the DAC. Figure 3 shows how a typical implementation of analog to digitalconversion is performed using the XPS ADC. A Delta-Sigma DAC, which is a primary block of the XPSADC core, is used to generate a reference voltage ADCref for the negative input to the externalcomparator.The analog signal, AnalogIn, feeds the positive input of the comparator. The voltage range of the DeltaSigma DAC output is 0V to VCCO, where VCCO is the supply voltage applied to the FPGA I/O bank.This is also the range of analog voltage that can be converted.If the analog input voltage is outside the range 0 V to VCCO, either the Delta-Sigma DAC output or theanalog signal itself may be biased, attenuated or amplified with external components to achieve thedesired voltage range compatibility.The analog voltage level is determined by performing a serial binary voltage search, starting at themiddle of the voltage range.Because of the serial nature of both the Delta-Sigma DAC and the analog sampling process, this XPSADC is useful only on signals that change slowly. If the analog input voltage changes during thesampling process, it effectively causes the sample point to move randomly. This adds a noisecomponent that becomes larger as the input frequency increases. This noise component can beremoved with an external sample and hold circuit for the analog input signal.A 24 mA LVTTL output buffer is normally used to drive the RC filter. Most comparators haveuncommitted collector/drain outputs, so RP is usually needed.X-Ref Target - Figure 3VccoFPGAOBUF F 24RRPAnalogIn– AgtRComparatorDACoutCXPS ADCPLBSampleDS587 03 090809Figure 3: Implementation of Analog to Digital Converter Using XPS ADC4www.xilinx.comDS587 December 2, 2009Product Specification

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Sample rateThe XPS ADC sample rate may be expressed as follows:XPS ADCSR fClk /(2(C DACIN WIDTH) x (FSTM 1) x (C DACIN WIDTH)) samples/secondConventional Analog to Digital Converters require at least twice the highest input frequency as samplerate. Delta-Sigma converters require higher fClk, so that sufficient number of bit-stream pulses can beproduced. Obviously the more bit-stream pulses can be produced, the better the approximation of theinput signal by the average bit-stream. The average (low pass filtered) bit-stream never exactlyrepresents the input signal. It is always superimposed with noise. One way to reduce this noise is tofurther increase the fClk (fClk is same as PLB Clock).Table 2 shows the AnalogIn signal frequency range and ADC sample rate for various PLB Clockfrequencies and FSTM values. Note that the sample rate is dependent on the PLB Clock frequency andthe FSTM value, therefore these should be set appropriately based on the frequency of the AnalogInsignal to be sampled.Table 2: XPS ADC Sample Rate Calculation (C DACIN WIDTH 9)PLB Clock frequencyFSTM loadedvalueAnalogIn signalfrequency rangeADC sample rate40 MHz4 868 Hz1736 samples/second80 MHz4 1736 Hz3472 samples/second100 MHz4 2170 Hz4340 samples/second40 MHz8 482 Hz964 samples/second80 MHz8 965 Hz1929 samples/second100 MHz8 1205 Hz2411 samples/secondXPS ADC I/O SignalsThe XPS ADC I/O signals are listed and described in Table 3. All signals are active high.Table 3: XPS ADC I/O Signal DescriptionPortSignal NameInterfaceI/OInitialStateDescriptionSystem SignalsP1SPLB ClkSystemI-PLB clockP2SPLB RstSystemI-PLB resetP3IP2INTC IrptSystemO0Interrupt signal from XPS ADCPLB Slave Interface Input SignalsP4PLB ABus[0: C SPLB AWIDTH - 1]PLBI-PLB address busP5PLB PAValidPLBI-PLB primary address validP6PLB masterID[0:C SPLB MID WIDTH - 1]PLBI-P7PLB RNWPLBI-DS587 December 2, 2009Product Specificationwww.xilinx.comPLB current master identifierPLB read not write5

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 3: XPS ADC I/O Signal Description (Cont’d)PortSignal NameInterfaceI/OInitialStateDescriptionP8PLB BE[0: (C SPLB DWIDTH/8) 1]PLBI-P9PLB size[0:3]PLBI-PLB size of requested transferP10PLB type[0:2]PLBI-PLB transfer typeP11PLB wrDBus[0: C SPLB DWIDTH 1]PLBI-PLB byte enablesPLB write data busUnused PLB Slave Interface Input SignalsP12PLB UABus[0: 31]PLBI-PLB upper address bitsP13PLB SAValidPLBI-PLB secondary address validPLBI-PLB secondary to primary readrequest indicatorPLBI-PLB secondary to primary writerequest indicatorP14P15PLB rdPrimPLB wrPrimP16PLB abortPLBI-PLB abort bus requestP17PLB busLockPLBI-PLB bus lockP18PLB MSizePLBI-PLB data bus width indicatorP19PLB lockErrPLBI-PLB lock errorP20PLB wrBurstPLBI-PLB burst write transferP21PLB rdBurstPLBI-PLB burst read transferP22PLB wrPendReqPLBI-PLB pending bus write requestP23PLB rdPendReqPLBI-PLB pending bus read requestPLBI-PLB pending write requestpriorityPLBI-PLB pending read requestpriorityP24P25PLB wrPendPri[0:1]PLB rdPendPri[0:1]P26PLB reqPri[0:1]PLBI-PLB current request priorityP27PLB TAttribute[0:15]PLBI-PLB transfer attributePLB Slave Interface Output Signals6P28Sl addrAckPLBO0Slave address acknowledgeP29Sl SSize[0:1]PLBO0Slave data bus sizeP30Sl waitPLBO0Slave waitP31Sl rearbitratePLBO0Slave bus rearbitrateP32Sl wrDAckPLBO0Slave write data acknowledgeP33Sl wrCompPLBO0Slave write transfer completeP34Sl rdDBus[0: C SPLB DWIDTH - 1]PLBO0Slave read data busP35Sl rdDAckPLBO0Slave read data acknowledgeP36Sl rdCompPLBO0Slave read transfer completewww.xilinx.comDS587 December 2, 2009Product Specification

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 3: XPS ADC I/O Signal Description (Cont’d)PortSignal NameInterfaceI/OInitialStateP37Sl MBusy[0:C SPLB NUM MASTERS - 1]PLBO0P38Sl MWrErr[0:C SPLB NUM MASTERS - 1]PLBO0P39Sl MRdErr[0:C SPLB NUM MASTERS - 1]PLBO0DescriptionSlave busySlave write errorSlave read errorUnused PLB Slave Interface Output SignalsP40P41P42P43Sl wrBTermSl rdWdAddr[0:3]Sl rdBTermSl MIRQ[0:C SPLB NUM MASTERS - 1]PLBO0Slave terminate write bursttransferPLBO0Slave read word addressPLBO0Slave terminate read bursttransferPLBO0Master interrupt requestXPS ADC SignalsP44DACoutP45ADCO0Pulse string that drives theexternal low pass filter.ADCO0Sample and Hold. This signal istrue when ADC starts samplingthe input and can drive anexternal Sample and Hold circuit.ADCI-Analog greater than Reference.This is the output of externalcomparator.SampleP46AgtRXPS ADC Design ParametersTo allow the user to create a XPS ADC that is uniquely tailored for the user’s system, certain featuresare parameterizable in the XPS ADC design. This allows the user to have a design that utilizes only theresources required by the system and runs at the best possible performance. The features that areparameterizable in the XPS ADC core are as shown in Table 4.DS587 December 2, 2009Product Specificationwww.xilinx.com7

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 4: XPS ADC Design sParameter NameDefaultValueVHDL Typevirtex5stringSystem ParameterG1Target FPGA familyC n6, virtex4,qvirtex4,qvvirtex4,virtex5, virtex5fx,virtex6, virtex6cxPLB ParametersG2XPS ADC Base Address C BASEADDRValid Address (1)None (2)std logic vectorG3XPS ADC High AddressC HIGHADDRValid Address (1)None (2)std logic vectorG4PLB address widthC SPLB AWIDTH3232integerG5PLB data widthC SPLB DWIDTH32, 64, 12832integerG6Selects point-to-point orshared PLB topologyC SPLB P2P0 Shared BusTopology0integerG7PLB Master ID BusWidthC SPLB MIDWIDTHlog2(C SPLBNUM MASTERS) with a minimumvalue of 11integerG8Number of PLB MastersC SPLB NUMMASTERS1 - 161integerG9Width of the Slave DataBusC SPLB NATIVEDWIDTH3232integerG10Enable burst supportC SPLB SUPPORT BURSTS00integersXPS ADC Features1.2.8G11Delta-Sigma DAC inputwidth. This parameter isset to one less than thedesired resolution of theanalog-to-digitalconversion.C DACIN WIDTH9, 119integerG12Filter Settle TimeMultiplier(FSTM) widthC FSTM WIDTH4-84integerC BASEADDR must be a multiple of the range size, where the range size is C HIGHADDR - C BASEADDR 1and must be a power of two large enough to accommodate all of the registers.No default value will be specified to insure that the actual value is set, i.e., if the value is not set, a compiler error willbe generated.www.xilinx.comDS587 December 2, 2009Product Specification

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Allowable Parameter CombinationsThe address-range size specified by C BASEADDR and C HIGHADDR must be a power of 2, andmust be at least 0x200.For example, if C BASEADDR 0xE0000000, C HIGHADDR must be at least 0xE00001FF.XPS ADC Parameter - Port DependenciesThe dependencies between the XPS ADC core design parameters and I/O signals are described inTable 5. In addition, when certain features are parameterized out of the design, the related logic will nolonger be a part of the design. The unused input signals and related output signals are set to a specifiedvalue.Table 5: XPS ADC Design Parameter - Port DependenciesGeneric orPortNameAffectsDependsRelationship DescriptionDesign ParametersG4C SPLB AWIDTHP4-Affects number of bits in address bus.G5C SPLB DWIDTHP8,P11,P34-Affects number of bits in data bus.G7C SPLB MID WIDTHG8C SPLB NUMMASTERSP6G8P37,P38,P39,P43-Affects the width of current masteridentifier signals and depends onlog2(C SPLB NUM MASTERS) with aminimum value of 1.Affects the width of busy and errorsignals.I/O SignalsP4PLB ABus[0:C SPLB AWIDTH - 1]-G4Width varies with the size of the PLBaddress bus.P6PLB masterID[0:C SPLB MID WIDTH 1]-G7Width varies with the size of the PLBmaster identifier bus.P8PLB BE[0:(C SPLB DWIDTH/8)-1]-G5Width varies with the size of the PLBdata bus.P11PLB wrDBus[0:C SPLB DWIDTH - 1]-G5Width varies with the size of the PLBdata bus.P34Sl rdDBus[0:C SPLB DWIDTH - 1]-G5Width varies with the size of the PLBdata bus.P37Sl MBusy[0:C SPLB NUMMASTERS - 1]-G8Width varies with the size of the PLBnumber of masters.DS587 December 2, 2009Product Specificationwww.xilinx.com9

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 5: XPS ADC Design Parameter - Port Dependencies (Cont’d)Generic orPortNameAffectsDependsRelationship DescriptionP38Sl MWrErr[0:C SPLB NUMMASTERS - 1]-G8Width varies with the size of the PLBnumber of masters.P39Sl MRdErr[0:C SPLB NUMMASTERS - 1]-G8Width varies with the size of the PLBnumber of masters.P43Sl MIRQ[0:C SPLB NUM MASTERS - 1]-G8Width varies with the size of the PLBnumber of masters.XPS ADC Register DescriptionsTable 6 shows the XPS ADC Registers and their addresses.Table 6: XPS ADC RegistersBase Address Offset(hex)RegisterNameDefaultValue (hex)GIE0x0C BASEADDR 0x020IPISRC BASEADDR 0x028C BASEADDR 0x100C BASEADDR 0x01CC BASEADDR 0x104C BASEADDR 0x1081.AccessRegister DescriptionRead/WriteDevice Global Interrupt EnableRegister0x0Read/TOW (1)IP Interrupt Status RegisterIPIER0x0Read/WriteIP Interrupt Enable RegisterADCCR0x0Read/ WriteADC Control Register(2)FIFO0x0ReadOCCY0x0Read (2)ADCout Data FIFOADCout Data FIFO OccupancyRegisterTOW Toggle On Write. Writing a ’1’ to a bit position within the register causes the corresponding bit position in theregister to toggle.Writing of a read only register has no effect.2.Device Global Interrupt Enable Register (GIE)The Device Global Interrupt Enable Register provides the final enable/disable for the interrupt outputto the processor and resides in the PLB Interface Module. This is a single bit read/write register asshown in Figure 4. Table 7 shows the GIE bit definitions.X-Ref Target - Figure 4Global Interrupt Enable0Unused131DS587 04 090809Figure 4: Device Global Interrupt Enable Register10www.xilinx.comDS587 December 2, 2009Product Specification

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a)Table 7: Device Global Interrupt Enable Register (GIE) Bit on0Global Interrupt EnableRead/Write’0’Master Enable for routing Device Interrupt to theSystem Interrupt Controller.’1’ Enabled’0’ Disabled1 to 31UnusedN/A0UnusedIP Interrupt Status and Interrupt Enable RegistersThe XPS ADC supports a single interrupt condition, FIFO Non-empty, which indicates that conversionsamples are available. The interrupt status and interrupt enable bits are located at bit-position 31 in theIP Interrupt Status Register (IPISR) and IP Interrupt Enable Register (IPIER), respectively. See Figure 5,Table 8 and Table 9.X-Ref Target - Figure 5UnusedFIFO Non-empty031DS587 05 090809Figure 5: Interrupt Status and Interrupt Enable RegisterTable 8: Interrupt Status Register (IPISR) Bit DefinitionsBi

XPS Delta-Sigma Analog to Digital Converter (ADC) (v1.01a) interrupts the processor. The FIFO Non-empty interr upt will be set and remains set as long as ADCout Data FIFO is non-empty. Delta-Sigma DAC: The Delta-Sigma DAC is a high-speed single bit DAC that uses digital techniques. Using digital feedback, a string of pulses is generated.

Related Documents:

4/9/2017 1 PHOTOELECTRON SPECTROSCOPY (XPS) PRINCIPLES AND APPLICATIONS Prof. NizamM. El-Ashgar ٢ Photoelectron Spectroscopy XPS What is XPS? Aim of XPS Analysis. General Theory How can we identify elements and compounds? Instrumentation for XPS Examples of materials analysis with XPS

AN4990 Sigma-delta modulation principle (external analog front-end functioning, simulations) 55 2 Sigma-delta modulation principle (external analog front-end functioning, simulations) 2.1 Principle of sigma-delta modulation The basic functional block diagram of a sigma-delta modulator is presented on Figure 4. Figure 4. Sigma-delta modulation .

Stanley C. Rosenberg, Tau Beta Sigma -Delta Delta Honorary, Spring 2014 . Tyler Jason Ramsay, Tau Beta Sigma – Delta Delta, Spring 2015 . BethAyn Curtis, Tau Beta Sigma – Delta Delta Honorary, Spring 2015 . Awards and Recognition: District Alumni Secretary Award, 2013 . Tau Beta Sigma,

Alpha Phi X Alpha Omicron Pi X Alpha Xi Delta Chi Omega X Delta Delta Delta X Delta Gamma X Delta Zeta X . Kappa Delta X Kappa Kappa Gamma X Phi Mu X Pi Beta Phi X Sigma Kappa Sigma Delta Tau X Zeta Tau Alpha X Total # Packets 18 1 set of digital documents 1. 5 Sample Resume Caitlin Cowboy 123 Main St. . Quill & Scroll National Honor .

A Delta-Sigma DAC uses digital techniques to convert a digital number into an analog voltage. Consequently, it is impervious to temperature change, and may be implemented in programmable logic. Delta-Sigma DACs are actually high-speed single-bit DACs. Using digital feedback, a string of pulses is generated.

RESOLVED, that Sigma Sigma Sigma affirms that a chapter with a house is best served by engaging a House Director. The individual House Corporation will be charged with determining the policy for the chapter and a live-in House Director. ALCOHOL WHEREAS, Sigma Sigma Sigma recognizes

alpha Kappa Delta Phi Sorority, Inc Sigma Lambda Upsilon . Kappa Delta Pi Beta Phi Sigma Delta Tau Sigma Kappa Sigma Sigma Sigma . I will know and understand the ideals expressed in my fraternity ritual

Gurukripa’s Guideline Answers for Nov 2016 CA Inter (IPC) Advanced Accounting – Group II Exam Nov 2016.2 Purpose / Utilisation Loan Interest Treatment 3. Working Capital 4 0.10 Written off to P&L A/c as Expense, as per AS – 16. 4. Purchase of Vehicles 1 0.025 Debited to Profit and Loss A/c. (Assumed immediate delivery taken and it is ready for use and hence not a Qualifying Asset) 5 .