Selective Etch Requirements For The Next Generation Of Semiconductor .

1y ago
19 Views
3 Downloads
2.70 MB
20 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Julius Prosser
Transcription

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATIONOF SEMICONDUCTOR DEVICESFRANK HOLSTEYNSON BEHALF OF THE SURFACE AND INTERFACE PREPARATION GROUP OF THE UNIT PROCESS DEPARTMENT10TH OF APRIL 2018

1 Mbp/s10 Mbp/sPOWERI/O BANDWITH1 Gbp/s100 Gbp/s1 Tbp/sIOT personal/home gatewayData center/cloud500 Watt(mains level)1 TByte1 Watt(battery level)IOT sensor nodesIOT interfaces-100mWatt(battery level)High-performance mobile (low power)High performance CPU/GPUMass StorageHi-speed communication (Optical IO)DATA STORAGE100 Watt1 TByte100GByte10 GByte100µW(ambient level)- Ultra-low-power, cost-sensitive design- Sensor and sensor integration10 Mop/s10 Gop/s100 Gop/sPERFORMANCE100 MByte1 Top/s1 Pop/s

DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE &MATERIAL INNOVATION3-2.5nm: GAAFin based device runs out of steam?Horizontal or Vertical nanowire, hybrid materialslog2(#transistors/ )10-7nm: More troubleMulti-patterning cost escalatesIntroduce Co in MOLintel7-5nm: At last .EUV reduces costTowards ultimate fin scalingIntroduction SiGe p-channel14nm: FinFETNew architectures:FinFET device saves the dayLess happy scaling eraStill doubles but devicescaling providesdiminishing returnsMaterial driven scalingSTCO2.5nm20nm: First sign of troubleDouble patterning (cost!)Planar device runs out of steam90nm: BEOLIntroduction of Cu (DD)Happy scaling era# transistors per area doublesevery two year for same costLithography driven scalingHighly scaled new device architecturesDTCO7nm5nm3nm1.75nmHybrid scaling22nm pitch 22nm pitch120nm STI 170nm STIUltimate finFETCFET, VFET, 2D, spin, .VFETStanford IEDM’14Scaling boostersRMC, SAGC, MG cut, FAV, BPR, SAB, .Track height scaling 3Fin 2Fin 1Fin?10nm14nm20nm28nm40nm65nmCNT FETPlanar: HKMG90nmPlanar: Strained 52027year

DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE &MATERIAL INNOVATION3-2.5nm: GAAFin based device runs out of steam?Horizontal or Vertical nanowire, hybrid materialslog2(#transistors/ )10-7nm: More troubleMulti-patterning cost escalatesIntroduce Co in MOLintel7-5nm: At last .EUV reduces costTowards ultimate fin scalingIntroduction SiGe p-channel14nm: FinFETNew architectures:FinFET device saves the dayLess happy scaling eraStill doubles but devicescaling providesdiminishing returnsMaterial driven scalingSTCO2.5nm20nm: First sign of troubleDouble patterning (cost!)Planar device runs out of steam90nm: BEOLIntroduction of Cu (DD)Happy scaling era# transistors per area doublesevery two year for same costLithography driven scalingHighly scaled new device architecturesDTCO7nm5nm3nm1.75nmHybrid scaling22nm pitch 22nm pitch120nm STI 170nm STIUltimate finFETCFET, VFET, 2D, spin, .VFETStanford IEDM’14Scaling boostersRMC, SAGC, MG cut, FAV, BPR, SAB, .Track height scaling 3Fin 2Fin 1Fin?10nm14nm20nm28nm40nm65nmCNT FETPlanar: HKMG90nmPlanar: Strained 52027year

DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE &MATERIAL INNOVATION3-2.5nm: GAAFin based device runs out of steam?Horizontal or Vertical nanowire, hybrid materialslog2(#transistors/ )10-7nm: More troubleMulti-patterning cost escalatesIntroduce Co in MOLintel7-5nm: At last .EUV reduces costTowards ultimate fin scalingIntroduction SiGe p-channel14nm: FinFETNew architectures:FinFET device saves the dayLess happy scaling eraStill doubles but devicescaling providesdiminishing returnsMaterial driven scalingSTCO2.5nm20nm: First sign of troubleDouble patterning (cost!)Planar device runs out of steam90nm: BEOLIntroduction of Cu (DD)Happy scaling era# transistors per area doublesevery two year for same costLithography driven scalingHighly scaled new device architecturesDTCO7nm5nm3nm1.75nmHybrid scaling22nm pitch 22nm pitch120nm STI 170nm STIUltimate finFETCFET, VFET, 2D, spin, .VFETStanford IEDM’14Scaling boostersRMC, SAGC, MG cut, FAV, BPR, SAB, .Track height scaling 3Fin 2Fin 1Fin?10nm14nm20nm28nm40nm65nmCNT FETPlanar: HKMG90nmPlanar: Strained 52027year

DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE &MATERIAL INNOVATION3-2.5nm: GAAFin based device runs out of steam?Horizontal or Vertical nanowire, hybrid materialslog2(#transistors/ )10-7nm: More troubleMulti-patterning cost escalatesIntroduce Co in MOLintel7-5nm: At last .EUV reduces costTowards ultimate fin scalingIntroduction SiGe p-channel14nm: FinFETNew architectures:FinFET device saves the dayLess happy scaling eraStill doubles but devicescaling providesdiminishing returnsMaterial driven scalingSTCO2.5nm20nm: First sign of troubleDouble patterning (cost!)Planar device runs out of steam90nm: BEOLIntroduction of Cu (DD)Happy scaling era# transistors per area doublesevery two year for same costLithography driven scalingHighly scaled new device architecturesDTCO7nm5nm3nm1.75nmHybrid scaling22nm pitch 22nm pitch120nm STI 170nm STIUltimate finFETCFET, VFET, 2D, spin, .VFETStanford IEDM’14Scaling boostersRMC, SAGC, MG cut, FAV, BPR, SAB, .Track height scaling 3Fin 2Fin 1Fin?10nm14nm20nm28nm40nm65nmCNT FETPlanar: HKMG90nmPlanar: Strained 52027year

DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE &MATERIAL INNOVATION3-2.5nm: GAAFin based device runs out of steam?Horizontal or Vertical nanowire, hybrid materialslog2(#transistors/ )10-7nm: More troubleMulti-patterning cost escalatesIntroduce Co in MOLintel7-5nm: At last .EUV reduces costTowards ultimate fin scalingIntroduction SiGe p-channel14nm: FinFETNew architectures:FinFET device saves the dayLess happy scaling eraStill doubles but devicescaling providesdiminishing returnsMaterial driven scalingSTCO2.5nm20nm: First sign of troubleDouble patterning (cost!)Planar device runs out of steam90nm: BEOLIntroduction of Cu (DD)Happy scaling era# transistors per area doublesevery two year for same costLithography driven scalingHighly scaled new device architecturesDTCO7nm5nm3nm1.75nmHybrid scaling22nm pitch 22nm pitch120nm STI 170nm STIUltimate finFETCFET, VFET, 2D, spin, .VFETStanford IEDM’14Scaling boostersRMC, SAGC, MG cut, FAV, BPR, SAB, .Track height scaling 3Fin 2Fin 1Fin?10nm14nm20nm28nm40nm65nmCNT FETPlanar: HKMG90nmPlanar: Strained 52027year

AS SCALING CONTINUES, CHALLENGES ARISE IN WET PROCESSINGWetting and kinetics fornanostructured surfacesIII(iii)(i)III(iv-2) (v)(iv-1)(vi)Selective etchrequirementsCapillary force inducedpattern collapse(ii)(iii)(iv-1)(iv-2)(ii)(v)Low k: 45 nm L/S,AR 5Si pillarsAR 20Si finsAR 10(i)(vi)Actual wetting states confirmed by opticalreflectometry; Xu et al., ACS Nano (2014).in-situ TEM observationsSi NW release VLSI 2016Si NS release IFT 2017STI oxide recess,SiNx compatibleATR-FTIR; Vrancken et al., Langmuir (2017).3D structures with more surface area interfacial phenomenaXu et al., Mirsaidov, Semicon Korea (2017).High surface to volume ratio mechanical stability and structuralintegrity8Cu recess toenable FSAVdimensional scaling introductionof new device architectures andnew selective etch requirements

KINETICS MAY VARY IN NANO-CONFINEMENTWettingelectrical double layersmicrochannel(a) IPA concentration profileoscillating around the criticalconcentration and (b) thecorresponding wetted areafraction as a function of timenanochannelWetting hysteresisOverlap of electrical double layers (EDL) innanochannelIn-situ study of wetting stability andhysteresis on initially non-wetting substrateDepletion of ions with same charge assurface in channel: no electroneutralityWetting hysteresis observed (Vranckenet al., Langmuir 2017).Vereecke, ECIS (2017).H. Daiguji, Chem. Soc. Rev. (2010).Differentiation between Wenzel / CassieBaxter / Mixed wetting states water structuring pH shift expected (D.Bottenus et al.,Lab on Chip, 2009, 9, 219.)Depletion etchants (A. Okuyama et al.,Solid State Phenom. 2015, 219, 115.)9Water confinementFormation of ice-like water innanoconfined volumes effect of water structuring ondiffusivity of chemical species innano-confined volumes expected

CAPILLARY FORCE INDUCED PATTERN COLLAPSEIN SITU CHARACTERIZATION OF DEWETTING AT NANOSCALE Real-time visualization of pattern collapse with TEM in liquid cell.Polycrystalline Si nanopillars, height 450 nm.Formation of clusters due to capillary instabilities. During drying the water film becomes unstable, and water is drained gradually towards bendednanopillars islands.10

PATTERN COLLAPSE/STICTION FREE DRYINGSurface functionalizing chemistry (SFC)IPA dry Replace water by low surface tension (𝜸)solvents to reduce capillary force; Improve evaporation rate (gas flow andheat) Improve on IPA qualitySi nanopillars with native oxideDIW (𝜸 0.072 N/m)IPA (𝜸 0.022 N/m) Reduce capillary force by increasing contactangles (𝜽) of rinsing liquids; Reduce surface adhesion force, more relevantwhen IPA dry is used after SFC; Further reduction capillary force: towardssublimation dryingIncrease surface hydrophobicity reduces patterncollapse in water (not necessarily for other solvents!)(i)(ii)11(iii)(iv)(v)

SELECTIVE ETCH REQUIREMENTS

SELECTIVE/ISOTROPIC ETCH OPPORTUNITIES (WET/DRY)FINFET GAA CFET2015MHM/ESL removal (BEOL)Oxide etchNitride etchSemiconductor etchMetal etch20Metal line recess (FSAV)WFM patterningChannel releaseIO (dummy) OX removalDummy poly removal15Upper contact recess105MHM/ESL removal (BEOL)Upper channel recessMetal line recess (FSAV)N/P isolation recessContact recess (SAGC)Cover spacer removalMHM/ESL removal (BEOL)MHM removal (MOL)Lower contact recessMetal line recess (FSAV)CESL removalLower channel recessContact recess (SAGC)WFM patterningSacrificial oxide recessMHM removal (MOL)Channel releaeBottom isolation recessCESL removalIO (dummy) OX removalInner spacer etchbackWFM patterningDummy poly removalCavity etchIO (dummy) OX removalInner spacer etchbackDummy OX removalDummy poly removalCavity etchDummy poly trimILD0 recess (MGC)ILD0 recess (MGC)BPR cap recessSTI recessSTI recessBPR metal recessFinFETGAACFET105

SEMICONDUCTOR ETCH: GAA SELECTIVE ETCH REQUIREMENTSCavity etchDrySi NW/NS releaseWetDryWetSiGe NW releasedNH4OH Ge NW ens et al., IEDM (2017).Mertens et al., VLSI (2016). ITF (2017)Wostyn et al., ECS (2015).Sebaai, UCPSS (2016), Witters, VLSI2017.

SEMICONDUCTOR ETCH: GAA SELECTIVE ETCH REQUIREMENTSHCl (gas)FORMULATED MIXTURE (wet)For both HCl (g) and formulated mixture, selectivity increases strongly with increasing Ge%.Anisotropic selective etch. Process time hourIsotropic selective etch. Process time minBogumilowicz et al. Semicond. Sci.Technol. 20 (2005) 127.

DIELECTRIC ETCHFinFET/GAA/CFET/VFETSi/SiGe, GAA Fin reveal (SiO2/SiN etch)Si, SiGe, Si/SiGe finsSTIMemoryIsolation recess (SiO2/SiN etch)Selective SiNx removal for3D-NAND fabricationSiN linerGAA inner spacer EB [SiN(OC) etch]Bottom isolation recess (SiO2 etch)N/P isolation recess (SiO2/SiN etch)3D SCM dummy gate recessCESL removal (SiN etch)Oxide recess selective to SiNx BPR isolation recessOXSiN etchOXSiNOXSiNSiN recessMertens et al., IEDM (2017).Pacco et al., SPCC (2018)16

DIELECTRIC ETCHFinFET/GAA/CFET/VFETSi/SiGe, GAA Fin reveal (SiO2/SiN etch)Si, SiGe, Si/SiGe finsSTIMemoryIsolation recess (SiO2/SiN etch)Selective SiNx removal for3D-NAND fabricationSiN linerGAA inner spacer EB [SiN(OC) etch]Bottom isolation recess (SiO2 etch)N/P isolation recess (SiO2/SiN etch)3D SCM dummy gate recessCESL removal (SiN etch)Oxide recess selective to SiNx BPR isolation recessOXSiN etchOXSiNOXSiNSiN recessMertens et al., IEDM (2017).Pacco et al., SPCC (2018)17

METAL ETCHSelective removalIC: MHM/ESL removalControlled metal recess: BPR, FSAV, SAGC, CMRBPR metal recess Fully Self Aligned ViaTiN-HM (and TiFx residue) removalMetal recessRMG WFM patterningTopcontactBottomcontactWFM removal in limited spacesVertical GAA-NWFETsOniki et al., SPCC (2018).Bumping module (seed etch)CFET: contact metal rail10 um pitch (CuNiSn bump)RMG module VGAA-NWFETsVeloso, ECS (2017); Murdoch, IEEE (2017).

CORE CMOS PARTNERSLOGIC / MEMORY IDM & FOUNDRIESFABLESS & FABLITEEQUIPMENT & MATERIAL SUPPLIERS / OSAT / EDA / JDP PARTNERS19

CONFIDENTIAL – INTERNAL USE

3D SCM dummy gate recess SiN SiN OX OX OX SiN recess Selective SiNx removal for 3D-NAND fabrication 16 Si/SiGe, GAA Fin reveal (SiO 2 /SiN etch) Si, SiGe, Si/SiGe fins STI GAA inner spacer EB [SiN(OC) etch] SiN liner CESL removal (SiN etch) Mertens et al., IEDM (2017). Pacco et al., SPCC (2018) Isolation recess (SiO 2 /SiN etch) Bottom .

Related Documents:

May 02, 2018 · D. Program Evaluation ͟The organization has provided a description of the framework for how each program will be evaluated. The framework should include all the elements below: ͟The evaluation methods are cost-effective for the organization ͟Quantitative and qualitative data is being collected (at Basics tier, data collection must have begun)

Silat is a combative art of self-defense and survival rooted from Matay archipelago. It was traced at thé early of Langkasuka Kingdom (2nd century CE) till thé reign of Melaka (Malaysia) Sultanate era (13th century). Silat has now evolved to become part of social culture and tradition with thé appearance of a fine physical and spiritual .

On an exceptional basis, Member States may request UNESCO to provide thé candidates with access to thé platform so they can complète thé form by themselves. Thèse requests must be addressed to esd rize unesco. or by 15 A ril 2021 UNESCO will provide thé nomineewith accessto thé platform via their émail address.

̶The leading indicator of employee engagement is based on the quality of the relationship between employee and supervisor Empower your managers! ̶Help them understand the impact on the organization ̶Share important changes, plan options, tasks, and deadlines ̶Provide key messages and talking points ̶Prepare them to answer employee questions

Dr. Sunita Bharatwal** Dr. Pawan Garga*** Abstract Customer satisfaction is derived from thè functionalities and values, a product or Service can provide. The current study aims to segregate thè dimensions of ordine Service quality and gather insights on its impact on web shopping. The trends of purchases have

in Figure 1. In general, the etch skew of two processes increases as pitch increases. When etch dummies are placed adjacent to primary patterns, a relatively isolated primary line will behave more like a dense line, and thus the etch dummies can reduce the etch skew

Bruksanvisning för bilstereo . Bruksanvisning for bilstereo . Instrukcja obsługi samochodowego odtwarzacza stereo . Operating Instructions for Car Stereo . 610-104 . SV . Bruksanvisning i original

It would be called the American Board of Radiology. A short time after his speech to the ACR, Dr. Christie repeated his proposal at a session of the American Medical Association (AMA) Section on Radiology in June 1933. It was received favorably. After two years of discussion among representatives of the four major national radiology societies (ACR, ARRS, ARS, and RSNA), the ABR was .