Virtuoso AMS Designer Simulator Tutorials - WordPress

1y ago
18 Views
3 Downloads
541.91 KB
120 Pages
Last View : 20d ago
Last Download : 3m ago
Upload by : Amalia Wilborn
Transcription

Virtuoso AMS Designer Simulator TutorialsProduct Version 8.2November 2008

2007–2008 Cadence Design Systems, Inc. All rights reserved.Portions Regents of the University of California, Sun Microsystems, Inc., Scriptics Corporation. Used bypermission.Printed in the United States of America.Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA.The AMS Designer simulator contains technology licensed from, and copyrighted by: Regents of theUniversity of California, Sun Microsystems, Inc., Scriptics Corporation, and other parties and is 19891994 Regents of the University of California, 1984, the Australian National University, 1990-1999 ScripticsCorporation, and other parties. All rights reserved.Open SystemC, Open SystemC Initiative, OSCI, SystemC, and SystemC Initiative are trademarks orregistered trademarks of Open SystemC Initiative, Inc. in the United States and other countries and areused with permission.MMSIM contains technology licensed from, and copyrighted by: C. L. Lawson, R. J. Hanson, D. Kincaid,and F. T. Krogh 1979, J. J. Dongarra, J. Du Croz, S. Hammarling, and R. J. Hanson 1988, J. J.Dongarra, J. Du Croz, I. S. Duff, and S. Hammarling 1990; University of Tennessee, Knoxville, TN andOak Ridge National Laboratory, Oak Ridge, TN 1992-1996; Brian Paul 1999-2003; M. G. Johnson,Brisbane, Queensland, Australia 1994; Kenneth S. Kundert and the University of California, 1111 FranklinSt., Oakland, CA 94607-5200 1985-1988; Hewlett-Packard Company, 3000 Hanover Street, Palo Alto,CA 94304-1185 USA 1994, Silicon Graphics Computer Systems, Inc., 1140 E. Arques Ave., Sunnyvale,CA 94085 1996-1997, Moscow Center for SPARC Technology, Moscow, Russia 1997; Regents of theUniversity of California, 1111 Franklin St., Oakland, CA 94607-5200 1990-1994, Sun Microsystems, Inc.,4150 Network Circle Santa Clara, CA 95054 USA 1994-2000, Scriptics Corporation, and other parties 1998-1999; Aladdin Enterprises, 35 Efal St., Kiryat Arye, Petach Tikva, Israel 49511 1999 and Jean-loupGailly and Mark Adler 1995-2005; RSA Security, Inc., 174 Middlesex Turnpike Bedford, MA 01730 2005.All rights reserved.Associated third party license terms may be found at install dir/doc/OpenSource/*Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. contained in this documentare attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks,contact the corporate legal department at the address shown above or call 800.862.4522. All othertrademarks are the property of their respective holders.Restricted Permission: This publication is protected by copyright law and international treaties andcontains trade secrets and proprietary information owned by Cadence. Unauthorized reproduction ordistribution of this publication, or any portion of it, may result in civil and criminal penalties. Except asspecified in this permission statement, this publication may not be copied, reproduced, modified, published,uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence.Unless otherwise agreed to by Cadence in writing, this statement grants Cadence customers permission toprint one (1) hard copy of this publication subject to the following conditions:1. The publication may be used only in accordance with a written agreement between Cadence and itscustomer.2. The publication may not be modified in any way.3. Any authorized copy of the publication or portion thereof must include all original copyright,trademark, and other proprietary notices and this permission statement.4. The information contained in this document cannot be used in the development of like products orsoftware, whether for internal or external use, and shall not be used for the benefit of any other party,whether or not for consideration.Patents: Cadence products described in this document, are protected by U.S. Patents 5,095,454;5,418,931; 5,606,698; 5,610,847; 5,790,436; 5,812,431; 5,838,949; 5,859,785; 5,949,992; 5,987,238;

6,088,523; 6,101,323; 6,151,698; 6,163,763; 6,181,754; 6,260,176; 6,263,301; 6,278,964; 6,301,578;6,349,272; 6,374,390; 6,487,704; 6,493,849; 6,504,885; 6,618,837; 6,636,839; 6,778,025; 6,832,358;6,851,097; 7,035,782; 7,039,887; 7,055,116; 7,085,700; 7,251,795; and 7,260,792.Disclaimer: Information in this publication is subject to change without notice and does not represent acommitment on the part of Cadence. Except as may be explicitly set forth in such agreement, Cadence doesnot make, and expressly disclaims, any representations or warranties as to the completeness, accuracy orusefulness of the information contained in this document. Cadence does not warrant that use of suchinformation will not infringe any third party rights, nor does Cadence assume any liability for damages or costsof any kind that may result from use of such information.Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth inFAR52.227-14 and DFAR252.227-7013 et seq. or its successor.

Virtuoso AMS Designer Simulator TutorialsContents1Understanding AMS Designer Simulator Use Models. 72Before You Begin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93Building Up a Mixed-Signal Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114Reusing a Digital Testbench with the AMS Designer Simulator. . . . .235Working with Port Connections between Verilog and SPICE . . . . . . .336Designing with SPICE in the Middle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477Using AMS Keywords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .518Using the Save-and-Restart Feature of the AMS Designer Simulator559Performing Envelope Analysis Using AMS-Spectre . . . . . . . . . . . . . . . . .10Performing Fast Envelope Analysis Using AMS-UltraSimNovember 2008563. . . . . . . . . . 73Product Version 8.2

Virtuoso AMS Designer Simulator Tutorials11Using ie Statements for Multiple Power Supply Design . . . . . . . . . . . . .7912Real Modeling with the AMS Designer Simulator . . . . . . . . . . . . . . . . . . .8913Using AMS Designer with SystemVerilog . . . . . . . . . . . . . . . . . . . . . . . . . .10314Using Netlist Compiled Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111November 20086Product Version 8.2

Virtuoso AMS Designer Simulator Tutorials1Understanding AMS Designer SimulatorUse ModelsThe Virtuoso AMS Designer simulator is a single executable for language-basedmixed-signal simulation. You can use the AMS Designer simulator to design and verify largeand complex mixed-signal SoCs (systems on chips) and multichip designs. The two primaryuse models for the AMS Designer simulator are: AMS Designer Incisive use modelFor digital-centric design verification, run the AMS Designer simulator from thecommand line using irun. This use model takes advantage of the power of the amsdblock.For schematic-based designs, try the AMS Designer Virtuoso use model: AMS Designer Virtuoso use modelFor analog-centric designs, run the AMS Designer simulator from the Virtuoso AnalogDesign Environment (ADE) using the OSS netlister and irun.Both use models feature the simulation front end (SFE) parser, which is the same parser thatthe Spectre circuit simulator uses.The tutorials in this document focus on the AMS Designer Incisive use model (sometimesabbreviated as AIUM).ImportantBefore running these tutorials, verify that your AMS Designer installation is set upand working. See also “Before You Begin” on page 9.The AIUM is very suitable for mixed-signal simulation during SoC verification. You canperform the primary implementation and verification using digital-centric flows and software,and be well-positioned to perform the final verification using SPICE or Spectre design units.November 20087Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsUnderstanding AMS Designer Simulator Use ModelsThe AIUM provides: Methodologies that support Verilog input for the digital engine and SPICE input for theanalog engine. Easy incorporatation of SPICE blocks into Verilog-centric simulations. All of the benefits of running irun in a single-step flow; the software simulates yourSPICE or Spectre design units using the analog solver. All the performance features of the UltraSim circuit simulator, including digital extendedmode (sim mode dx).Note: For more information about sim mode dx, see “Simulation Modes” in the“Simulation Options” chapter of the Virtuoso UltraSim Simulator User Guide.You can use SPICE/Spectre or Verilog-AMS IP (intellectual property) to represent the analogand mixed-signal IP in full and accurate SoC simulations. You can also use SPICErepresentations of some digital IP in a full SoC simulation in order to perform checks andmeasurements (such as dynamic power consumption or current leakage) you cannototherwise perform using digital simulation.November 20088Product Version 8.2

Virtuoso AMS Designer Simulator Tutorials2Before You BeginYou can run these tutorials using the AMS Designer simulator.You can download the tutorial files from the installation hierarchy:your install dir/tools/amsd/samples/aiumTo download all tutorials, do the following:1. Create a tutorial directory in your local area. For example:mkdir myAmsTutorials2. Copy the tutorial directories from the installation hierarchy. For example:cp -r AMSHOME/tools/amsd/samples/aium/* myAmsTutorialsThe system copies all the tutorial directories from samples/aium intomyAmsTutorials.3. Change to your local tutorials directory. For example:cd myAmsTutorialsTo download only a particular tutorial, do the following:1. Change to the directory where you want to download the tutorial. For example:cd myAmsTutorials2. Copy the tutorial directory from the installation hierarchy. For example:cp -r AMSHOME/tools/amsd/samples/aium/build up .The system copies the build up tutorial directory and its contents into themyAmsTutorials directory.You are ready to begin.November 20089Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBefore You BeginThe following tutorials are available:Directory (in samples/aium)DocumentationamsKeywordsUsing AMS Keywords on page 51amsd saverestartUsing the Save-and-Restart Feature of the AMSDesigner Simulator on page 55amss envelopePerforming Envelope Analysis Using AMS-Spectre onpage 63fastenvPerforming Fast Envelope Analysis Using AMS-UltraSimon page 73build upBuilding Up a Mixed-Signal Design on page 11multipowerUsing ie Statements for Multiple Power Supply Designon page 79Real modeling irunReal Modeling with the AMS Designer Simulator onpage 89spice in middleDesigning with SPICE in the Middle on page 47sv amsUsing AMS Designer with SystemVerilog on page 103tbreuse ignDefReusing a Digital Testbench with the AMS DesignerSimulator on page 23, Using Reuse Directives in MixedSignal Testbenches on page 25tbreuse rwReusing a Digital Testbench with the AMS DesignerSimulator on page 23, Accessing SPICE Nets inside aVerilog Design on page 28VerilogToSpiceWorking with Port Connections between Verilog andSPICE on page 33November 200810Product Version 8.2

Virtuoso AMS Designer Simulator Tutorials3Building Up a Mixed-Signal DesignYou can create a mixed-signal design consisting of Verilog-AMS and SPICE design units, andsimulate your design using irun. The irun program supports a broad mixed-language baseand offers a simple command-line interface for design verification. For more information, see“Using irun for AMS Simulation” in the Virtuoso AMS Designer Simulator User Guide.Additional features of irun include the following: irun automatically determines the top-level design unit from Verilog or SystemVerilogsource files. (For other languages, you can use -top to specify the top-level design unit.) irun supports SPICE-in-the-middle design. irun supports the amsd block.You can build up a mixed-signal design for AMS Designer simulation by Converting a purely digital design into an AMS design by replacing one or more digitalblocks with SPICE or Spectre blocks (netlists), or Stitching existing digital (Verilog) modules together with SPICE/Spectre subcircuits.We will focus on the second of these two approaches using the build up tutorial files.We will demonstrate how to prepare and run the AMS Designer simulator for a designconsisting of SPICE netlists and Verilog modules. We will demonstrate single-step simulationusing irun and the amsd block. This use model is simpler than the ncvlog/ncelab/ncsimthree-step approach.ImportantBefore starting this tutorial, see “Before You Begin” on page 9.See the following topics for details: Design Information on page 13 Organizing Design Files into One Directory on page 14November 200811Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal Design Building the Testbench on page 15 Creating a Run Script for irun on page 17 Creating the AMS Control File on page 18 Specifying a Configuration for the Design on page 19 Specifying Connect Rules on page 20 Creating a Tcl File to Probe Digital Nodes on page 20 Creating Analog Probes in the Analog Control File on page 21 Running irun on page 22November 200812Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignDesign InformationThe example we will use is a PLL design that consists of both SPICE netlists and Verilogcode. The design units for this example might come from analog and digital designcommunities separately. This PLL design consists of a VCO (which happens to be a Verilog-A module in this case), a digital frequency divider, a digital frequency counter, a phase detector (PD), and a charge pump.The VCO generates eight 400 MHz signals with different phases (p0, p45, p90, . , p315).The design divides down one of the outputs (p0) by a factor of two before feeding into thephase detector (vcoclk). The other input to the phase detector is a 200 MHz reference clocksignal (refclk). When the two inputs to the phase detector are out-of-sync, the phasedetector generates corrective pulses to adjust the differential output voltages of the chargepump (vcop, vcom), which control the frequency of the VCO. When the PLL is in lock, thevcoclk and refclk signals are in phase and the VCO control signals V(vcop) andV(vcom) are stable.The key signals are: testbench.refclk testbench.clk p0 1x testbench.clk p0 4x testbench.p1.vcom testbench.p1.vcop testbench.p0The design files consist of SPICE netlists and Verilog-A for analog design units, analog devicemodels, and Verilog modules for digital design units as follows:. - - .solutionsanalog -- ChargePump.sp -- Gates.sp -- PLL.sp -- PhaseDetector.sp'-- VCO.vaNovember 2008# Hidden directory for reference# Analog (SPICE) netlist# Charge pump subckt# Basic gates# PLL circuit, including all analog blocks# Phase detector subcircuit# Verilog-A module13Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal Design - '--digital -- counter.v -- divider.v'-- testbench.vmodels -- bipolar.scs -- diode.scs -- gpdk.proc -- gpdk.scs -- nmos1.scs -- pmos1.scs'-- resistor.scs# Digital code# digital stimulus file# Model directoryNote: PLL.sp includes PhaseDetector.sp and ChargePump.sp (SPICE descriptions)and uses an ahdl include statement to include a Verilog-A VCO.Organizing Design Files into One DirectoryTo organize the tutorial design files into one directory, do the following:1. Change to the tutorial directory.cd build uplsanalogdigitalmodels2. Create a directory to contain all the source files, analog as well as digital. For example:mkdir source3. Move the analog and digital design files into the source directory you created:mv analog sourcemv digital sourceYour directory structure should look like this:build up -- .solutions -- models -- source -- analog -- digitalNovember 200814Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignBuilding the TestbenchTo build a testbench, do the following:1. Create a top level by connecting and instantiating the analog and digital components.This example connects digital instances counter and divider, and analog instancepll top, using wires vcoclk, clock 2, clock 1, clock 0, net036, and p0.2. Create stimuli to the DUT.This example has stimuli for reset and refclk.3. Monitor or self-check the output.Note: For information about testbench reuse as regards using monitor, see “UsingReuse Directives in Mixed-Signal Testbenches” on page 25.The skeleton testbench file for this tutorial example is in source/digital/testbench.v: timescale 1ps/1psmodule testbench ();reg reset;reg refclk;initial beginreset 1;#50 reset 0;endalways #2500 refclk refclk;endmoduleWhen we perform the steps to build the testbench, the file looks like this: timescale 1ps/1psmodule testbench ();reg reset;reg refclk;wire vcoclk, net036, p0;wire [2:0] clock;initial beginreset 1;#50 reset 0;endinitial beginrefclk 0;endNovember 200815Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal Designalways #2500 refclk refclk;counter counter (reset, vcoclk, clock);divider divider (vcoclk, net036, p0, reset);pll top pll top (refclk, reset, vcoclk, clock, net036, p0, clk p0 1x, clk p0 4x);endmoduleTipWe do not need to include disciplines.vams because we do not need to declareany connections as electrical (even though we have wires that connect toanalog ports).November 200816Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignCreating a Run Script for irunTo create a run script for irun, create a file called run containing the following iruncommand in the build up directory:Item/OptionDigital design inputsExampleirun./source/digital/*.v \AMS control file./amscf.scs \UltraSim solver switch-amsfastspice \Timescale for undefined Verilogmodules-timescale 1ns/100ps \Tcl probe on digital nodes-input probe.tclThe top line of the script allows us to run it. Your final run script should look like this:#!/bin/csh -firun ./source/digital/*.v \./amscf.scs \-amsfastspice \-discipline logic \-timescale 1ns/100ps \-input probe.tclTipSee build up/.solutions/run.Some things to note: The AMS control file is just a regular Spectre file that you can specify directly on thecommand line. This control file can contain include statements that include analogmodel files, SPICE input files, and your analog control file. See “Creating the AMSControl File” on page 18 for details. You can specify your connect rules file as a regular input file, directly on the commandline. You do not need to specify any connect rules (-amsconnrules). The softwareautomatically builds the “full-fast” connect rule using the voltage supply level you specifyin an ie statement in an amsd block.November 200817Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignCreating the AMS Control FileFor this example, we will put the following statements in the AMS control file (which is justanother Spectre format input file that happens to contain one or more amsd blocks): include statements for analog model files:includeincludeincludeinclude "./models/resistor.scs" section res"./models/diode.scs" section dio"./models/pmos1.scs" section nom"./models/nmos1.scs" section nominclude statement for the SPICE input file:include "./source/analog/PLL.sp" include statement for the analog control file:include "./acf.scs"See “Creating Analog Probes in the Analog Control File” on page 21 for informationabout the contents of this file. The amsd block:amsd {portmap subckt pll top busdelim " "config cell pll top use spiceie vsup 2.0}TipSee build up/.solutions/amscf.scs.November 200818Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignSpecifying a Configuration for the DesignYou can use a config statement in the amsd block to specify a configuration for the design.You can put the amsd block in an ordinary Spectre or SPICE input file. You can include analogSPICE files, specify multiple disciplines and connect module information, simulation controlstatements, and so on.For example, see build up/.solutions/amscf.scs whose contents include:*.include "./source/analog/PLL.sp".amsd {portmap subckt pll top busdelim " "config cell pll top use spice.}The first line is a comment line, which is the convention for Spectre files. In the amsd block,the portmap statement tells the AMS Designer simulator how a SPICE subcircuit interfaceshould appear to the elaborator. The config statement specifies a SPICE version for thepll top cell, while the rest of the design uses Verilog.Note: If you are migrating from using a prop.cfg file, you will recognize the following asequivalent to the amsd block above:cell pll top{string prop sourcefile "./source/analog/PLL.sp";string prop sourcefile opts "-auto bus";}Using irun and the amsd block simplifies setting up and running your simulations by notrequiring the various setup files that the three-step method required: cds.lib, worklib,prop.cfg, and so on.November 200819Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignSpecifying Connect RulesTipIf you are a new user or creating a new test case, we recommend you use an iestatement in an amsd block in an AMS control file (see “Creating the AMS ControlFile” on page 18) to automate the process of creating a custom discipline andconnect rule for connecting the custom discipline to the electrical discipline. Youdo not need to specify the connect module path or to compile any connect modules.The software automatically builds the “full-fast” connect rule using the voltagesupply level you specify and applies the custom discipline to domainless nets in yourdesign. You can find the set of connect rule files that Cadence provides inyour install dir/tools/affirma ams/etc/connect lib. Seeyour install dir/tools/affirma ams/etc/connect lib/README fordetailed information about them.Another way to specify connect rules when you run irun is to use the -amsconnrulescommand-line option:irun -amsconnrules nameOfConnRules .For example, to specify the 1.8 V full-fast connect rules, you can specify the connect rules fileon the irun command line (just like any other input file) and the set of connect rules, byname, using the -amsconnrules command-line option as follows:irun ./source/digital/ConnRules18.vams -amsconnrules ConnRules 18V full fast .Creating a Tcl File to Probe Digital NodesTo probe digital nodes and save that information to a database file called waves.shm, createa Tcl file (probe.tcl) containing the following commands:database -open waves -into waves.shm -defaultprobe -create -database waves -all -depth allprobe -create -database waves testbench.refclkprobe -create -database waves testbench.clk p0 1xprobe -create -database waves testbench.clk p0 4xprobe -create -database waves testbench.p0#simvision -input simvision.svrunexitTipYou can use the one in build up/.solutions.November 200820Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignThe database command opens the waves.shm waveform database file. The probecommands create probes for digital nodes. Notice that you can also specify simulation controlcommands (such as run) in a Tcl file.To specify the Tcl file on the irun command line, use the -input command-line option:irun . -input probe.tcl .Creating Analog Probes in the Analog Control FileIn addition to specifying analog simulation control statements (such as the .tran statementand the UltraSim .usim opt statements), you can use the UltraSim .probe statement tospecify analog probes in the analog control file. Create the following file in the build updirectory and call it or lang spice lookup * UltraSim Analysis --------------*.tran 1ns ------------** UltraSim Simulator --------------**ultrasim: .usim opt method gear2*ultrasim: .usim opt progress p 10.probe v(*) depth 3 preserve port.probe v(testbench.p1.vcom) v(testbench.p1.vcop).endTipYou can also copy build up/.solutions/acf.scs to the build up directory.The first .probe statement saves all analog nodes to a hierarchical depth of three. Thesecond .probe statement requests a selective save of particular analog nodes.The simulator saves all analog waveforms into the same database that contains the digitalwaveforms (waves.shm), so you can display both analog and digital waveforms in the samewaveform viewer.November 200821Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsBuilding Up a Mixed-Signal DesignRunning irunReview the irun run script (see “Creating a Run Script for irun” on page 17 orbuild up/.solutions/run). To run the script, type the following command:./runYou can use SimVision to verify the waveforms when the simulation has finished. You can lookat the irun.log file for simulation messages.November 200822Product Version 8.2

Virtuoso AMS Designer Simulator Tutorials4Reusing a Digital Testbench with the AMSDesigner SimulatorWhen you take a purely digital design and replace one or more digital blocks with SPICE orSpectre design units, you can find yourself with a purely digital testbench that now has someout-of-module references (OOMRs) to SPICE items. Even with such references, you can addsettings and directives to the testbench such that you can reuse it in the analog/mixed-signaldomain where you simulate using the Virtuoso AMS Designer simulator.Consider the following example statements that might contain OOMRs to SPICE once youreplace a Verilog module (say, timer) with a SPICE or Spectre netlist. force testbench.dut.timer.data[0] 0 if (testbench.dut.timer.data[0] 1 ) counter 0 wire a ((testbench.dut.timer.data[0] 1) (testbench.duv.timer.data[1] 0 always @(posedge testbench.dut.timer.data[0] counter 1You can choose whether to default the value of the OOMR to X (digital “unknown”) or to ignoreit altogether. Yet another alternative is to write your testbench to use special “conversion”instances so that you can access SPICE nets in a Verilog design.Note: The design we will use to demonstrate these methods is approximately the same asthe one we used in “Building Up a Mixed-Signal Design” on page 11.TipYou can also use the -ignore spice oomr and -default spice oomrcommand-line options to specify how you want the software to manageout-of-module references in digital statements when you substitute a SPICE blockfor a purely digital (Verilog) block. For more information, see “Using aCommand-Line Option to Manage Out-of-Module References to SPICE” in theVirtuoso AMS Designer Simulator User Guide.November 200823Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsReusing a Digital Testbench with the AMS Designer SimulatorImportantBefore starting this tutorial, see “Before You Begin” on page 9.See the following topics for details: Using Reuse Directives in Mixed-Signal Testbenches on page 25 Accessing SPICE Nets inside a Verilog Design on page 28November 200824Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsReusing a Digital Testbench with the AMS Designer SimulatorUsing Reuse Directives in Mixed-Signal TestbenchesIf you have constructs or techniques to verify your mixed-signal simulation using the samedigital testbench, you can use the ams testbench reuse ignore and ams testbench reuse default value directives to specify how you want thesoftware to manage out-of-module references (OOMRs) in digital statements when yousubstitute a SPICE block for a purely digital (Verilog) block. You can use these directives inconjunction with the following statements: if statements display/ monitor statements Procedural assignments, including blocking and nonblocking force and release procedural statements Continuous assignments Sequential blocks (where the out-of-module reference to SPICE is in a delay or eventcontrol expression)Consider the following testbench, which you will find in the tbreuse ignDef tutorialdirectory (tbreuse ignDef/source/digital/testbench.v). Notice that the monitor statements in the third initial begin block have OOMRs to SPICE whenyou substitute a SPICE subcircuit for the pll top design unit. timescale 1ps/1psmodule testbench ();reg reset d;reg reset;reg refclk;wire vcoclk, clock 2, clock 1, clock 0, net036, p0;initial beginreset d 1;reset 1;#200 reset d 0;reset 0;#100000 reset d 1;reset 1;#100 reset d 0;reset 0;endinitial beginrefclk 0;#200 refclk 1;endinitial beginNovember 200825Product Version 8.2

Virtuoso AMS Designer Simulator TutorialsReusing a Digital Testbench with the AMS Designer Simulator monitor (testbench.pll top.vcom); monitor (testbench.pll top.vcop);endalways #2500 refclk refclk;counter counter (reset d, vcoclk, clock 2, clock 1, clock 0);divider divider (vcoclk, net036, p0, reset d);pll top pll top (refclk, reset, vcoclk, clock 2, clock 1, clock 0, net036, p0,clk p0 1x, clk p0 4x);endmoduleSee the following topics for information about using the testbench-reuse directives to ignorethe OOMRs or to assign a value of “1’bx” (digital “unknown”): Using the ams testbench reuse ignore Directive on page 26 Using the ams testbench reuse default value Directive on page 27Using the ams testbench reuse ignore DirectiveYou can use the ams testbench reuse ignore directive to cause the simulator toignore out-of-module references in digital statements that occur when you substitute a SPICEblock for a purely

For schematic-based designs, try the AMS Designer Virtuoso use model: AMS Designer Virtuoso use model For analog-centric designs, run the AMS Designer simulator from the Virtuoso Analog Design Environment (ADE) using the OSS netlister and irun. Both use models feature the simulation front end (SFE) parser, which is the same parser that

Related Documents:

ams 6411 h * ams 6414 m ams 6415 u ams 6418 h * ams 6419 h ams 6450 j * ams 6457 d ams 6470 n * ams 6472 f * ams 6484 e ams 6487 l ams 6514 h * ams 6522 d ams 6526 h ams 6527 e ams 6528 c * ams 6532 f ams 6930 e * ams 6931 c * ams 6945 b * ams 7259 e ams 7276 h * ams 7720 a * ams 7725 e ams 7879 f ams 10133 b ams 10134 b

AMS 4037 AMS 4035 AMS-QQ-A-250/4 AMS-QQ-A-250/5 AMS 4120 AMS-QQ-A-225/6 AMS 4086 AMS-WW-T-700/3 AMS 4152 AMS 4164 AMS 4165 AMS-QQ-A-200/3 Bare sheet and plate Bare sheet and plate Bare sheet and plate Clad sheet and plate Bar and rod, rolled or cold-finished Rolled or drawn bar,

AMS-6415 E-4340 AMS-6416 “300M” (obsolete-use AMS-6419) AMS-6417 E-4340 Modified (Vacuum Melt.) AMS-6418 Hy-Tuf Gr. A & B AMS-6419 300M (Vacuum Melt.) AMS-6427 E-4330 Modified AMS-6431 D6AC (Vacuum Melt.) AMS-6440 E-52100 AMS-6444 E-52100 (Vacuum Melt.) AMS-6448 E-6150

4340 ams 6415, mil-s-5000 6150 ams 6448 8740 ams 6322 52100 ams 6440 alloy (vac-melt) specification h-11vm ams 6487 4330modvm ams 6411 4340m ams 6414 9310m ams 6265 52100vm ams 6444 aluminum nickel bronze material specifications qqc 00645b(1) c63000 astm b150 03 c63000 tq50 ams 4640 f96 tq50 ams 4640 f96 hr50

SAE 4340 SAE 4130 17 - 7 PH 17 - 4 PH AMS 5659 AMS 5528 AMS 5643 AMS 5604 0.010”- 1/4” 1/8”- 13.5” DIA 1/4” - 4” 0.05”- 0.20” 36" x 96" / 120" 12 feet or cut to your required lengths Cut to your required sizes 36" x 96" / 120" 12 feet or cut to your required lengths AMS-S-5000 AMS 6414 AMS 6415 AMS-S-5000 AMS 6414 AMS 6415 AMS .

1/19/16 Tue AMS-J-3 AMS-J-3-011916 NA 1,428 4,198 0.0010 1/20/16 Wed AMS-J-1 AMS-J-1-012016 NA 1,445 3,967 0.0019 1/20/16 Wed AMS-J-2 AMS-J-2-012016 NA 1,445 4,769 0.0010 SE, 5-10MPH . 1/20/16 Wed AMS-J-3 AMS-J-3-012016 NA 1,450 4,510 0.0009 1/21/16 Wed

4340 mod. "300m " bms 7 26, ams . a 286 ams 5732, astm a 453, grade 660 cl. b, ams 5737 e 36 d 33028, d 33000, astm a b753 e 42 d 33028, d 33000, astm a b753 172 ams 4851, ams 4533, ams 4534, astm b 196, astm b570, qqc 530 aermet 100 ams 6532, mil hdbk 5, mms 217, uns k92580

Division and 3-505 Parachute Infantry Regiment on 4 August 1990. My company, Charlie 3-505, had been conducting night live-fire exercises at Fort Bragg, North Carolina. Around 2230 hours on the night of 4 August, I received a Warning Order from my commander, Captain Charles Dydasco, to prepare for movement to the Battalion Area. Shortly after midnight, in a torrential downpour, we began .