Wafer Bonding - UWEE

2y ago
29 Views
2 Downloads
2.02 MB
32 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Konnor Frawley
Transcription

EE-527: MicrofabricationWafer BondingR. B. Darling / EE-527 / Winter 2013

Outline Forces between surfaces – physical chemistry of bonding– Hydrophilic silicon surfaces– Hydrophobic silicon surfaces Silicon fusion bonding– Room temperature bonding– High temperature bonding Bonding of dissimilar materials––––Anodic bonding of borosilicate glass to siliconSilicon on sapphire (SOS)Silicon on insulator (SOI)GaAs on silicon (epitaxial liftoff - ELO) Device applicationsR. B. Darling / EE-527 / Winter 2013

Overview of the Wafer Bonding ProcessSurface Preparation and CleaningRoom Temperature BondingDevice Wafer12Device WaferHandle WaferHandle WaferHigh Temperature AnnealingWafer ThinningDevice Layer3Device WaferHandle Wafer4Handle WaferR. B. Darling / EE-527 / Winter 2013

Advantages of Wafer-to-Wafer Bonding Allows much more complex structures to be constructed,especially those that require multiple cavities at differentdepths. Provides great versatility in sealing and packaging. Allows (some) combinations of dissimilar materials to beused, often to great advantage for processing ease orsystem performance.R. B. Darling / EE-527 / Winter 2013

Challenges in Wafer-to-Wafer Bonding Cleanliness.Surface flatness.Uniformity.Gas bubbles.Limited to relatively small wafers (6-inch or less).Alignment of wafers to each other is difficult.Low throughput.R. B. Darling / EE-527 / Winter 2013

Surface Forces that Create Bonding van der Waals forces:– Fv A / 6πd3, d separation distance, A Hamaker constant.– Hydrogen bonding (dipole – dipole attraction) is quite strong,especially with H – O, H – F, and H – N bonds. Water moleculescan link together using these forces. Capillary forces:– Present only if the surface contact wetting angle is less than 90 .– Liquid must bridge the two surfaces and form a concave meniscusin order to create an attractive force between the two surfaces. Electrostatic forces:– These are strongest in ionic materials with permanent ioniccharges.– Fi decays exponentially with d, Coulomb’s Law.R. B. Darling / EE-527 / Winter 2013

Surface Forces in Wafer BondingWafer 2Wafer 1van der Waals forcescapillary forceselectrostatic forcesSiO–HH–OSiFigure after Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Bonding Surfaces are Never Perfect It is impossible to bond two single crystal materials andend up with a single crystal. Imperfections limit this:––––Surface steps.Surface voids.Surface bumps.Surface contaminants that change the flatness and the composition. Bonding requires the two surfaces to accommodate forthese imperfections:– Flexing of the wafer (large scale strain).– Distortion of the bonding zone (local strain).– Variable filling by an intermediate bonding material.R. B. Darling / EE-527 / Winter 2013

Bonding Area Propagation During bonding, the wafers flex. As the two surfaces pull together to create the bond, thebonding zone propagates across the wafer. This isequivalent to the propagation of a closing crack. De-bonding can sometimes be accomplished, and isequivalent to the propagation of an opening crack, withthe wafers also flexing as this proceeds. Bonding needs to be initiated at some point. Mostbonding apparatus start by applying pressure at the centerof the wafer and allowing the bonding area to propagateoutward. This increases the chances of working out anytrapped gas bubbles.R. B. Darling / EE-527 / Winter 2013

Propagation of Wafer BondingInfrared camera view of bonding propagating from the tong point in the centeroutward to the edges of two silicon wafers.Figure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Hydrophilic Surface Bonding in Silicon van der Waals bonding requires hydroxyl (–OH) groups on thesurface to which water molecules can attach. Native oxides and thermally grown oxides terminate in oxygenatoms which must be hydrated to form a hydrophilic surface. Si–O–Si HOH Si–OH HO–Si. Surface Si–OH are called silanol groups. Oxide Si–O–Si are called siloxane bonds. Siloxane to silanol conversion is reversible up to 425 C. If both wafer surfaces are sufficiently flat and hydrophilic, theywill bond together at room temperature with simple pressure tosqueeze out the air and/or water.R. B. Darling / EE-527 / Winter 2013

Hydrophobic Surface Bonding in Silicon SiO2 on the surface of a Si wafer can be stripped with a diluteaqueous HF etch solution. Upon removal from the HF etch solution, the Si surface will beterminated in mostly hydrogen atoms, Si–H, but also a fewfluorine atoms, Si–F, 1014 cm 2. This surface is hydrophobic, and water droplets can be seen tobead up on it. This is usually a good visual test that a wafer hashad its oxide successfully removed. Si–F bonds are strongly ionic ( 45% ionic character), andprovide sites for HF bridging molecules which can create roomtemperature bonding.R. B. Darling / EE-527 / Winter 2013

Wafer Bonding Apparatus – Queen’s University, BelfastFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Wafer Bonding Apparatus – UC DavisFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Wafer Bonding Apparatus – Low VacuumFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Low Vacuum Bonding Sequence – A, BFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Low Vacuum Bonding Sequence – CFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Low Vacuum Bonding Sequence – D, EFigure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

Thermal Annealing of Bonded Wafers After room temperature (RT) bonding (sometimes known as“tacking”), wafer pairs are usually given a thermal treatment toincrease the bond strength. This varies considerably, depending upon the application. Bond strength is measured as the surface energy required toseparate the joined surfaces. Examples:–––––––Hydrophobic Si/Si with RT tack: 25 mJ/m2Hydrophobic Si/Si with 300 C anneal: 140 mJ/m2Hydrophobic Si/Si with 700 C anneal: 2100 mJ/m2Hydrophilic Si/Si with RT tack: 135 mJ/m2Hydrophilic Si/Si with 300 C anneal: 1200 mJ/m2Hydrophilic Si/Si with 800 C anneal: 2100 mJ/m2SiO2/sapphire with 150 C anneal: 2500 mJ/m2R. B. Darling / EE-527 / Winter 2013

Thermal Annealing of Bonded Silicon Wafers Hydrophilic surfaces:– RT to 110 C: interfacial water rearrangement.– 110 C to 150 C: polymerization of silanol groups; significant increase insurface energy to 1200 mJ/m2.– 150 C to 800 C: bonding limited by contact area; no increase in bondstrength.– 800 C to 1200 C: oxide reflows; bond strength increases to 2100mJ/m2. Hydrophobic surfaces:– RT to 150 C: stable state; no increase in bond energy.– 150 C to 300 C: HF bond rearrangement; bond energy increases up to 140 mJ/m2.– 300 C to 700 C: Hydrogen desorbs and Si–Si covalent bonds form; bondstrength increases from 140 to 2100 mJ/m2 over this range.– 700 C to 1200 C: Si atoms diffuse at surface giving complete bonding.R. B. Darling / EE-527 / Winter 2013

TEM of Hydrophilic (SiO2) Bonded Si Wafers(100) Silicon, annealed at 1100 C for 3 hours.Figure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

TEM of Hydrophobic (Si) Bonded Si Wafers(100) Silicon, annealed at 1100 C for 3 hours.Figure from Tong and Gösele, Semi Wafer Bonding, 1999.R. B. Darling / EE-527 / Winter 2013

EVG Wafer BonderFigure from EVG.R. B. Darling / EE-527 / Winter 2013

MIT Micro Turbine Engine Using Wafer BondingFigure from EVG.R. B. Darling / EE-527 / Winter 2013

Bonding of Dissimilar Materials Anodic bonding of borosilicate glass to siliconSilicon on sapphire (SOS)Silicon on insulator (SOI)GaAs epitaxial liftoff onto silicon (GaAs/Si)Package frit bondingR. B. Darling / EE-527 / Winter 2013

Anodic Bonding Borosilicate glasses can be bonded to silicon byelectrostatic attraction of cations in the glass. Cations can be moved through the glass with acombination of heat and applied electric field. Pulling the mobile cations away from the silicon-glassinterface creates the electrostatic attractive force. Glasses that are commonly used:–––––Corning 7740 (Pyrex )Corning 7070Schott 8330Schott 8320Iwaki 7570 (a low temperature lead/borosilicate glass)R. B. Darling / EE-527 / Winter 2013

Anodic Bonding ApparatusStainless Steel ElectrodeSilicon Wafer 1200 VDCGlass WaferHot Plate Chuck (300-400 C)R. B. Darling / EE-527 / Winter 2013

Silicon On Sapphire (SOS)Oxidation of Silicon WaferSi: 300 µm; SiO2: 20 nm1Silicon WaferBonding and Annealing (270 C)2Silicon WaferSapphire WaferSapphire WaferPolishing to 10 µm thick andEtch-back to 3 µm with KOHFinal Polishing to 0.2 µm of SiSilicon Device Layer43Sapphire WaferSapphire WaferR. B. Darling / EE-527 / Winter 2013

Bonded Silicon On Insulator (SOI)Oxidation of Silicon Wafers& Implantation of Etch StopBonding and AnnealingSilicon Wafer12Silicon WaferSilicon Handle WaferSilicon Handle WaferRough Polishing andEtching Down to Etch Stop LayerRemoval of Etch Stop Layer &Final Polishing to 0.2 µm of Si43Silicon Handle WaferSi Device LayerBuried OXide (BOX)Silicon Handle WaferThis process was invented by J. B. Lasky of I.B.M. in 1985.R. B. Darling / EE-527 / Winter 2013

SIMOX Separation by IMplantation of OXygen. A method for creating an SOI wafer without bonding.implanted oxygen ionshigh temperature annealDevice LayerBuried OXide (BOX)R. B. Darling / EE-527 / Winter 2013

GaAs Epitaxial Liftoff (ELO) And Bonding To SiliconEpitaxial GaAs IC or Device isGrown over AlAs Etch Release LayerInGaAsAlGaAsGaAsAlAsGaAs1Epitaxial GaAs IC or Device is Releasedby Selective HF Etching and Floated Off2GaAs Substrate WaferGaAs Substrate WaferEpitaxial GaAs IC or Device Layeris Floated Over to a Silicon Wafer3H2O filmSilicon Handle WaferAssembly is Baked to Dehydrateand Strengthen the Bond4Silicon Handle WaferThis process was invented by Eli Yablonovitch of Bell Labs in 1988.R. B. Darling / EE-527 / Winter 2013

Applications – A Bonded Pressure SensorDiffused Si piezoresistor strain sensors(100) Si wafer #1;bonded and then thinned(100) Si wafer #2Anisotropically etched backside pressure portAnisotropically etched pressure cavity (prior to bonding)R. B. Darling / EE-527 / Winter 2013

Bonding Area Propagation During bonding, the wafers flex. As the two surfaces pull together to create the bond, the bonding zone propagates across the wafer. This is equivalent to the propagation of a closing crack. De-bonding can sometimes be accomplished, and is equivalent to the propagation of an opening crack, with

Related Documents:

The CMOS Process - photolithography (1) Silicon Wafer Silicon Wafer SiO 2 1μm Silicon Wafer photoresist (a) Bare silicon wafer (b) Grow Oxide layer (c) Spin on photoresist Lecture 3 - 4 The CMOS Process - photolithography (2) Silicon Wafer (d) Expose resist to UV light through a MASK Silicon Wafer (e) Remove unexposed resist Silicon Wafer

Wafer-level Bonding (Permanent step of 3D packaging) For wafer-level 3D packaging, bonding accuracy and uniformly is important, as well as the co-planarity of the bonded wafers. Substrate bonding also allows more flexibility in the process than the alternative, die -level flip-chip bonding, because the

notch [4] in the wafer and plate, respectively, in the bonding process. The system can measure the amount of change in the detected edge and align the wafer and plate. Conventionally, wafer alignment is performed based on the flat surface of the wafer and the notch of the ceramic plate. A method using

Modern Chemistry 1 Chemical Bonding CHAPTER 6 Chemical Bonding SECTION 1 Introduction to Chemical Bonding OBJECTIVES 1. Define Chemical bond. 2. Explain why most atoms form chemical bonds. 3. Describe ionic and covalent bonding. 4. Explain why most chemical bonding is neither purely ionic or purley 5. Classify bonding type according to .

comparing with Au wire bonding. Bonding force for 1st bond is the same range, but approx. 30% higher at 2nd bonding for both Bare Cu and Cu/Pd wire bonding but slightly lower force for Bare Cu wire. Bonding capillary is PECO granular type and it has changed every time when new cell is used for bonding

from electric shock. Bonding and earthing are often confused as the same thing. Sometimes the term Zearth bonding is used and this complicates things further as the earthing and bonding are two separate connections. Bonding is a connection of metallic parts with a Zprotective bonding conductor. Heres an example shown below.

grinding wheel. The diamond surface of this grinding wheel is shaped exactly opposite to the desired end result shape of the wafer edge. The wafer is either fed into the diamond wheel, or the diamond wheel is fed into the wafer, depending upon the machine design. This type of machine

sistem pendidikan akuntansi (Dian, 2012). Mengingat pentingnya PPAk bagi mahasiswa akuntansi maka diperlukan motivasi dari dalam diri mahasiswa terhadap minat untuk mengikuti PPAk. Minat merupakan keinginan yang timbul dari dalam diri mahasiswa untuk mengikuti pendidikan profesi, di mana minat setiap mahasiswa sangatlah beragam hal tersebut tergantung pada pribadi masing-masing mahasiswa .