MRAM Technology And Business 2019 - I-Micronews

1y ago
11 Views
2 Downloads
7.10 MB
47 Pages
Last View : 14d ago
Last Download : 3m ago
Upload by : Julius Prosser
Transcription

From Technologies to MarketsFrom Technologiesto MarketMRAMTechnologyand Business2019Sample 2019

ABOUT THE AUTHORSBiographies & contactsSimone Bertolazzi, PhDSimone is a Technology & Market analyst at Yole Développement (Yole) working with the Semiconductor & Software division. He is member of theYole’s memory team and he contributes on a day-to-day basis to the analysis of memory markets and technologies, their related materials andfabrication processes. Previously, Simone carried out experimental research in the field of nanoscience and nanotechnology, focusing on emergingsemiconducting materials and their device applications. He (co-) authored more than 15 papers in high-impact scientific journals and was awarded theprestigious Marie Curie Intra-European Fellowship. Simone obtained a PhD in physics in 2015 from École Polytechnique Fédérale de Lausanne(Switzerland), where he developed novel flash memory cells based on heterostructures of 2D materials and high-κ dielectrics.Simone earned a double M.A. Sc. degree from Polytechnique de Montréal (Canada) and Politecnico di Milano (Italy), graduating cum laude.Contact: bertolazzi@yole.frEmilie JolivetEmilie Jolivet is Director of the Semiconductor & Software Division at Yole Développement (Yole), part of Yole Group of Companies. Emilie managesthe expansion of the technical and market expertise of her team. In addition, Emilie’s mission focusses on the management of business relationshipswith semiconductor leaders and the development of market research and strategy consulting activities. With its previous collaborations at Freescaleand EV Group, Emilie developed a core expertise dedicated to package & assembly, semiconductor manufacturing, memory and software & computing.Emilie Jolivet holds a Master’s degree in Applied Physics specializing in Microelectronics from INSA (Toulouse, France) and graduated with an MBAfrom IAE (Lyon, France).Contact: jolivet@yole.fr2

COMPANIES CITED IN THIS REPORTA*STAR, Advantest, Aeroflex, Antaios, Applied Materials, Avalanche, Canon, Capres-KLA, CEALeti, CNE, Crocus, CXMT, Cypress, Despatch, Dow, Evaderis, Evatec, Everspin, JHICC,GlobalFoundries, H-Grace, HFC Semiconductor, Hikstor, Hitachi, Honeywell, HP, Hprobe,Huawei, IBM, Imec, Infineon, Intermolecular, Inston, Intel, ITRI, Lam Research, Macronix,Maxim, Materion, Mediatek, Merk, Microchip, Micron, MicroSense Mythic, Nantero, Nanya,National Tsing Hua University, Nokia, Numen, NVE Corporation, NXP, OHT, Panasonic,Qualcomm, Quantum, Reliance, Renesas, Samsung, SanDisk, Seagate, SK Hynix, Shanghai Ciyu,SilTerra, Singulus, Smart Modular Technologies, SmartTip, SMIC, Sony, Spin Memory, Spintec,STMicroelectronics, Stanford University, Synopsis, Syntiant, TDK, TEL, TEL Magnetic Solutions,Teledyne e2v, Tezzaron, Tohoku University, Toshiba, Towerjazz, Tsinghua Unigroup , TSMC,UMC, Veeco, Western Digital, Winbond, XFab, XMC,YMTC, and more 2019 www.yole.fr MRAM Technology and Business Sample3

TABLE OF CONTENTSIntroduction7Noteworthy MRAM news 2017-201919Executive summary25Context - overview of the memory business65Stand-alone memory – NAND, DRAM, NOR, (NV)SRAM72Embedded memory – eflash, edram, SRAMEmerging non-volatile memories – MRAM, PCM, RRAM, etc.Embedded memory (slow SRAM) – low-power memory for165ASICS/ASSP chips (e.G., Display drivers and edge-ai accelerators)Embedded cache memory (SRAM, edram) – fast memory for173high-level caching in CPU and mobile processorsLong-term potential applications180MRAM supply chain and player dynamics18487Supply chain analysis18896MRAM players and activities201Overview of MRAM applications and market trends107Mergers & acquisitions, partnerships and funding219Market forecast and financial analysis114China MRAM development223Technology description and trends231MRAM technologies239MRAM manufacturing251Next-generation magnetic memories (SOT- and VC-MRAM)259Summary and conclusions264Related reports269How should you use our data?270Yole Développement’s presentation271Market forecast 2018-2024 (in M, Gb and 12’’ eq. wafers with breakdown byapplications and technologies) for stand-alone and embedded MRAMStand-alone MRAM applications and market trends124Industrial, transportation and other (NVSRAM)126Enterprise storage – SSD caching and storage accelerators134Persistent memory (NVDIMM)140Embedded MRAM applications and market trends148Embedded storage (eflash) – code/data storage in mcus and other155ASICS/ASSP chips.4

REPORT METHODOLOGYYole’s market forecast model is based on the matching of several sources:PreexistinginformationMarketVolume (in Munits)ASP (in )Revenue (in M)InformationAggregation 2019 www.yole.fr MRAM Technology and Business Sample5

KEY FEATURES OF THIS REPORT - SCOPEAfter recognizing the growing importance of MRAM – particularly within the embedded space – Yole decided to publish the new report “MRAMTechnology and Business”, which provides a detailed overview of the latest MRAM technology developments together with a high-resolutionsnapshot of the current state of the MRAM ecosystemThe scope of the report is as follows: Supply chain and players analysis Mapping of the entire (STT-)MRAM supply chain Players’ activities at different levels of the supply chain Analysis of the ecosystem’s maturity, challenges, strengths Competitive landscape: players strategies and dynamics Technology and Market Trends (STT-)MRAM technology description, latestdevelopments, roadmaps and trends Market drivers, challenges & opportunities Market forecasts in M , Gb and 12’’ eq. wafers Next-generation magnetic memories (e.g. SOTand VC-MRAM) enabling new applications Stand-Alone and Embedded Applications Stand-alone: Industrial, transportation, defense and other ( 256 Mb). Enterprise storage, e.g. accelerators and SSD caching ( 256Mb) Persistent memory, e.g. ( 1 Gb). Embedded: Code/data storage memory (e.g. eFlash replacement in MCUs), Working memory (Slow SRAM) for ASSPs/ASICs (e.g. AI edge accelerators) Cache memory (SRAM) in CPUs and mobile processors.Yours needs areout of the report’scope?Contact us for a custom: 2019 www.yole.fr MRAM Technology and Business Sample6

KEY FEATURES OF THIS REPORT - OBJECTIVES Present an overview of the overall semiconductor memory market: Stand-alone memory (DRAM, NAND, NOR, (NV)SRAM): markets, forecast, and main trends Embedded memory (SRAM, eDRAM, eFlash) and emerging NVM businesses Provide an understanding of MRAM applications: Total addressable markets, market drivers & challenges, technology roadmaps, players, and main trends Offer market forecasts for stand-alone and embedded MRAM: 2018-2024 market forecast in units, Gb, US /Gb, and number of equivalent 12’’ wafers Describe (STT-)MRAM technologies: Working principle, manufacturing methods, advantages/limitations, development status, price, time-to-market Roadmap with technological nodes, and chip density evolution with main players Latest product development status for each key market player Detail and analyze the competitive landscape: Key players, by technologies and applications Recent acquisitions, partnerships and funding Latest company news 2019 www.yole.fr MRAM Technology and Business Sample7

COMPARISON WITH THE “EMERGING NVM 2018” REPORT The “Emerging NVM 2018” report provides a systematic comparison among emerging memory technologies – particularlyphase-change memory (PCM), resistive RAM (RRAM) and magnetoresistive RAM (MRAM) – that could complement/replace theindustry workhorses NAND (non-volatile) and DRAM (volatile) in a number of market applications. More specifically, the “MRAM Technology and Business 2019” provides a deep insight into the spectrum of MRAMtechnologies and applications, and analyzes the supply chain at different levels: chip manufacturers, equipment & material suppliers,system integrators, and more. A profile for all key players with their MRAM activities is provided. In terms of market/business evolution, here are some new key facts discussed in this report: Foundry/IDM activities on embedded MRAM are advancing at fast pace: Samsung Foundry is now in mass production @28nmFDSOI, Intel is ready for production @22nm FinFET, while TSMC is sampling embedded MRAM @22nm (planar bulk). Samsung and TSMC are focusing on embedded MRAM for replacing SRAM in applications where performance is not a priority,whereas GlobalFoundries will continue to offer embedded MRAM as a code/data storage technology instead of eFlash Samsung’s embedded MRAM could be adopted as a slow SRAM implementation in CMOS image sensors or display driver ICs. Strong involvement of equipment players for solving deposition, etching and metrology/testing challenges Big R&Dinvestments for developing full MRAM manufacturing solutions for foundry customers. The latter are still evaluating the toolsoffered by multiple players. China has plans to develop MRAM as an embedded memory option for its future foundry business. Density improvements in stand-alone STT-MRAM (1 Gb chips are now in pilot production) and cost/bit reduction could enablenew markets in the long term.8

STAND-ALONE MEMORIES - OVERVIEW In 2018, NAND and DRAM account for 97% of the standalone memory market ( 160B). The remaining 3% of the market ( XXB) consists of:-Flash NOR ( 2.6B)-EEPROM, EPROM, Mask PROM/ROM, etc. ( 1B)- Volatile RAM ( 280M)-- Asynchronous SRAM Synchronous SRAMNon-Volatile RAM ( 420M) nvSRAM BBSRAM Ferroelectric RAMStand-alone emerging NVMs ( 275M) Compared to NAND and DRAM, these markets are muchless volatile and relatively more stable.Stand-alone memory market 2018( XXXB)9

STAND-ALONE AND EMBEDDED MRAM APPLICATIONSKey MRAM tion,and otherEnterprise StoragePersistent MemoryCode/Data Storage(eFlash)“Working” Memory(Slow SRAM)MCU, ASSP, ASIC, SoCCMOS Image SensorsCache Memory(SRAM, eDRAM)NVSRAMIndustrialautomationStorage AcceleratorsNetwork Interface CardsTransportIoT / WearablesDisplay Driver ICsTcon, memory buffersMedicalGamingNetwork &InfrastructureCPUPersistent Memory for Servers2023 AerospaceImage memory bufferNVDIMMMobile APGeneral PurposeSolid State Drives2023 Write caching, journaling, logs,data buffering and streamsEdge AI accelerator chipsAutomotiveRequired Speed/DensityIn memory computingRequired Speed/Density10

STAND-ALONE MEMORY - TECHNOLOGY COMPARISON2018 commercial products performanceMemory pricing position in 2018 ( /Gb)5: best value0: worst valuePrice andscalability areobstacles foremergingmemories tocompete withDRAM andNAND 1 000,00Price ( /Gb)5Data Storage4 10,003Speed 100,00 1,002 0,101 0,01NANDPCMDRAMNORSTT-MRAMRRAMDensity (Gb)0Density position in 2018 (Gb)100001000100101Working 001NANDPCMDRAMNORSTT-MRAMRRAM 2019 www.yole.fr MRAM Technology and Business Sample11

STAND-ALONE STT-MRAM - ROADMAPChip density and technology node scaling - Comparison with DRAMSTT-MRAM will target enterprise storage (SCM) applications for a long time before trying to substitute DRAM (not before 2022)Technology-node scaling (nm)Stand-alone memory roadmap (Gb/die) Yole Development, July 2232420233282024328Technology Node (nm) Yole Development, July 2019Density (Gb/chip) 222023111620241116DRAM scalability finally went two generations below 20nm! STT-MRAM must catch the DRAM running train a big challenge! 2019 www.yole.fr MRAM Technology and Business Sample12

EMBEDDED STT-MRAM - ROADMAPTechnology node evolution and embedded MRAM adoptionTechnology-Node Scalng (nm)Technology Node (nm)eFlashsubstitution bywill be startingin 2019, whileSRAM cacheapplications willbegin in 2022 Yole Development, July 2019eFlash / “slow” SRAMSRAM LL 2410404072828728225282252822522143221432214 2019 www.yole.fr MRAM Technology and Business Sample13

TECHNOLOGY ANALYSIS - COMPARISON WITH OTHER MEMORIES 2019 www.yole.fr MRAM Technology and Business Sample14

(STT-)MRAM KEY RESEARCH INSTITUTES AND LABORATORIES 2019 www.yole.fr MRAM Technology and Business Sample15

SUPPLY CHAIN MAPPING AND ANALYSIS16

EMBEDDED STT-MRAM BUSINESSKey partnerships and developments for the top players. The top foundry players are all preparing for 28/22nm embedded STT-MRAM. Intel has confirmed that its MRAM is nowachieving high yields making mass production viable.Foundry / IDM(To be ed ShortTerm ApplicationCMOS bulk22nm planarFD-SOI 22nmplanarFD-SOI 28nmplanarCMOS bulk28/22nm planarCMOS 22nmFinFET(sampling)(sampling)(in mass production)(in development)(sampling)“Slow” SRAMeFlash“Slow” SRAMeFlash“Slow” SRAMeFlash“Slow” SRAM28/22nm“Slow” SRAM 2019 www.yole.fr MRAM Technology and Business Sample17

TIME-TO-MARKET FOR (STT-)MRAM PLAYERSBy applications, for leading players – fabless/IP/IDM (stand-alone) and foundry/IDM (embedded) playersEmbeddedEmbedded Cache Memory(SRAM, eDRAM) – Mobile AP Yole Development, July 2019Embedded Cache Memory(SRAM, eDRAM) – High-End CPUEmbedded Memory (slow SRAM)Embedded Data/Code Storage(eFlash)Stand-alonePersistent Memory (NVDIMM)Enterprise Storage (SCM)Industrial, transportation andother applications2018201920202021202220232024Entrance into the (STT-)MRAM market (commercial product availability) 2019 www.yole.fr MRAM Technology and Business Sample18

(STT-)MRAM MARKET FORECAST 2019 www.yole.fr MRAM Technology and Business Sample19

DENSITY AND PRICE EVOLUTION 2019 www.yole.fr MRAM Technology and Business Sample20

CHINA MRAM DEVELOPMENTS 2019 www.yole.fr MRAM Technology and Business Sample21

MRAM MANUFACTURING 2019 www.yole.fr MRAM Technology and Business Sample22

YOLE GROUP OF COMPANIES RELATED REPORTSYole DéveloppementDRAM & NAND Service– Memory ResearchStatus of the MemoryIndustry 2019Emerging Non-VolatileMemory 2018Memory Packaging Marketand Technology Report2017Contact ourSales Teamfor moreinformation 2019 www.yole.fr MRAM Technology and Business Sample23

YOLE GROUP OF COMPANIES RELATED REPORTSSystem Plus ConsultingContact ourSales Teamfor moreinformationLeading-edge 3D NANDMemory Comparison 2018 2019 www.yole.fr MRAM Technology and Business Sample24

MRAM TECHNOLOGY AND BUSINESS 2019Market & Technology Report - August 2019MRAM promises life beyond eFlash: the embedded MRAM market is taking off and is expected toreach 1.2B by 2024.Nowadays, there is broad consensus inthe computer memory industry that the28nm/22nm silicon lithography nodes will bethe last technology nodes for embedded Flash(eFlash). This is not because of fundamentalscalability limitations, but because of economicbarriers. Therefore a new embedded nonvolatile memory (NVM) for code/data storageis needed. At the same time, scaling of volatilestatic random access memory (SRAM) is slowingdown due to cell footprint degradation occurringat advanced nodes. A denser working embeddedmemory would therefore be highly desirable.Among various emerging NVM technologies,spin transfer torque magnetoresistive RAM(STT-MRAM) is gaining significant momentum. Itis poised to become the next embedded memorysolution for a variety of integrated circuit (IC)products manufactured at the 28nm node andbelow. That includes low-power wearables andInternet-of-Things (IoT) devices, microcontrollerunits (MCUs), automotive, imaging and displayICs, edge artificial intelligence (AI) accelerators,and other application specific ICs (ASICs) andapplication specific standard parts (ASSPs).In 2018, the embedded STT-MRAM market wasstill limited, with no volume shipments. 2019 isexpected to be the year this market takes off.Samsung has recently started mass productionof embedded STT-MRAM, and we expect othermajor foundry/integrated device manufacturers(IDMs) to enter the race soon. At the sametime, multiple equipment suppliers like AppliedMaterials, Tokyo Electron Limited (TEL), Canon,and Lam Research, and logic companies likeQualcomm, ARM and Synopsis are increasingtheir research spending on MRAM. This is furtherpropelling the development of the embeddedSTT-MRAM business. Thanks to the supportof a large number of leading companies, YoleDéveloppement analysts believe the embeddedmemory market has the potential to reach 1.2Bby 2024, growing with a compound annual growthrate over this period (CAGR2018-2024) of 295%.On the other hand, the stand-alone memorymarket is expected to grow less vigorously, witha CAGR 2018-2024 of 54%, and will remain below 600M in 2024. So far, the growth has beendriven by low-density (STT-)MRAM devicesat 16Mb and below manufactured by a few keyplayers, such as Everspin and Avalanche/Sony.In coming years, the stand-alone market will bemainly driven by enterprise storage applications.These include solid state drive (SSD) caching andstorage/network accelerators, which are servedby high-density STT-MRAM chips at 256Mband above. The latter are typically sold to IDMsand system makers in the enterprise storagebusiness. These companies require 12-18months to develop new systems, so the ramp-upof STT-MRAM sales has taken a relatively longtime. Notably, 1Gb 28nm devices from Everspinhave recently moved into the pilot-productionphase at GlobalFoundries. Their forthcomingavailability in the market could trigger furthergrowth of enterprise storage applications.Embedded memory technology trends & MRAM market evolutionTechnology-node scaling roadmap for embedded memoriesExpected MRAM market evolution20code/data storageworking memory10LL cachingMRAM market in le Développement, August 2019)20212022eMRAM20232024eMRAM applications02018 2021 2024Stand-Alone Market (in B)Embedded Market (in B)Total Wafer ProductionThousand wafers Overview of the spectrum of(STT-)MRAM technologies, alongwith their manufacturing processesand related technical/economicchallenges Description of three stand-aloneand three embedded (STT-)MRAMapplications Stand-alone:- industrial, transportation,defense and other applicationsrequiring low-density memorychips- enterprise storage, includingaccelerators and SSD caching- persistent memory such asNVDIMM Embedded:- code/data storage memory, asan eFlash replacement- working memory, or “slow”SRAM, for ASSPs/ASICs such asAI edge accelerators- last-level cache memory, aseDRAM/SRAM replacements,in CPUs and mobile processors 2018-2024 market forecast forstand-alone and embeddedapplications in US, Gb andequivalents of 12’’ wafer starts Overview of the latest R&Ddevelopments and next-generationmagnetic memories such as SOTMRAM and VC-MRAM Overview of potential applicationsin the long term, including L1-L2caching based on SOT-MRAM,execute-in-place (XIP) memory suchas high-density NOR replacementsat 2Gb and above, and storage classmemory via STT-MRAM crosspointarchitectures Mapping of the embedded andstand-alone MRAM supply chainwith key partnerships Analysis of the ecosystem’s maturity,challenges and strengths anddescription of the players’ activitiesat different levels of the supply chain Presentation of the latest MRAMdevelopments within the growingChinese memory businessEMBEDDED MRAM IS PICKING UP STEAM THANKS TO THE STRONGINVOLVEMENT OF TOP FOUNDRY/IDM PLAYERS AND EQUIPMENT SUPPLIERSTechnology node (nm)KEY FEATURES

MRAM TECHNOLOGY AND BUSINESS 2019EQUIPMENT SUPPLIERS ARE TACKLING THE CHALLENGES OF HIGH-VOLUMEMANUFACTURING OF STT-MRAMSTT-MRAM combines the best attributes ofconventional memory types in a single technology.It features the speed of SRAM and the nonvolatility of eFlash with unlimited endurance. It canbe added to process flows for logic chips withoutsignificant cost increase, offering a remarkabledensity gain over SRAM, together with low powerconsumption. However, a number of difficulttechnical challenges need to be tackled to fullyexploit the potential of STT-MRAM and enable itshigh-volume production. Fortunately, equipmentsuppliers are working hard to solve such issuesand are offering new solutions enabling continuousprogress.Critical (STT-)MRAM manufacturing challenges and key equipmentplayers*’ involvement towards high volume productionDeposition of MTJ stackGoal: real-time propertymonitoringThe magnetic tunneling junction (MTJ) – thefundamental element of the MRAM memory cell –consists of a delicate multi-layer stack comprising20-to-30 different layers, whose thickness mustbe controlled with sub-nanometer precision. Forreliable MTJ production, deposition systems capableof on-board monitoring of key material propertiesare needed, such as the Endura Clover MRAMphysical vapor deposition (PVD) tool recentlyannounced by Applied Materials. Furthermore, theetch steps for patterning MRAM cells are amongthe most challenging in the manufacturing process.The CoFe and CoFeB layers used in the MTJ cannotbe etched with conventional reactive ion etch (RIE)tools because such materials are not prone to formvolatile compounds with plasma gases. RIE couldalso damage the stack. Hence, the industry has toimplement suitable ion-beam etching techniquesand optimize them to avoid the re-deposition ofbyproducts. For instance, Lam Research and TELhave developed chemically-enhanced ion beametching tools.Last but not least, metrology and testing are alsocritical steps and cannot be overlooked. They haveto be performed multiple times during the devicefabrication process to assess the material/deviceresponse to electrical and magnetic stimuli. Also inthis framework, there are companies developing adhoc solutions for fast measurements on wafers, aswell as packaged devices.Etching MTJ pillarsGoal: high-density, narrowpitch patterningTesting and MetrologyGoal: high-speed measurement ofelectrical and magnetics properties*Non-exhaustive list of companies(Yole Développement, August 2019)EVERSPIN IS THE CLEAR LEADER IN THE STAND-ALONE MARKET. THECOMPETITION IS GETTING FIERCER IN THE EMBEDDED MRAM BUSINESSEverspin is the clear leader of the stand-alone (STT-)MRAM market. It is the only supplier of toggleMRAM at 16Mb and below for applications requiringhigh reliability, such as industrial, transportation,defence and medical markets, which have for longbeen served by non-volatile SRAM (NVSRAM). Itis also the only player supplying high-density STTKey partnerships* within the embedded MRAM ecosystemsT( o beannouncedFoundry MOS D-SOI28nmplanarCMOS ��SRAMeFlash“Slow”SRAMeFlash“Slow”SRAM(in *Non-exhaustive list of companies(Yole Développement, August 2019)MRAM for the enterprise storage market. Avalanchehas started shipping stand-alone STT-MRAM partsfrom 1-32Mb, manufactured at Sony using 40nmlithographic processes. It is targeting the NVSRAMmarket, partially challenging Everspin’s ToggleMRAM.In the embedded business, foundry/IDM activitiesare advancing at a fast pace. Samsung is now inmass production on 28nm Fully Depleted SiliconOn Insulator (FDSOI). Intel, after working silentlyon MRAM for several years, recently confirmedthat its embedded MRAM, which uses a 22nmFinFET architecture, is ready for production. Atthe same time, TSMC is sampling on 22nm planarbulk silicon. Also, Gyrfalcon’s MRAM-based AIchips, manufactured at TSMC, should enter volumeproduction by mid-2020. GlobalFoundries will enterthe market with embedded MRAM for replacingeFlash on 22nm FDSOI, and is working on an SRAMlike version for the subsequent 12nm FDSOI node.Seemingly, Intel is targeting eFlash replacement.Samsung and TSMC seem to be focusing primarilyon embedded MRAM for replacing SRAM inapplications where performance is not a priority.They are expected to enter the market with a “slowSRAM” implementation.

MARKET & TECHNOLOGY REPORTThe overall MRAM ecosystem appears welldeveloped and multiple companies, like NXPand Sony, are ready to adopt embedded MRAMin their IC products. We expect that 2019 willbe the year of the MRAM market take off.The new “MRAM Technology and Business”report by Yole Développement providesan overview of embedded and stand-alonememory technologies. It details the marketevolution for each application, the competitivelandscape, the players’ dynamics, the challengesand the strategies in moving towards massadoption of (STT-)MRAM.REPORT OBJECTIVES Present an overview of the semiconductor memory industry with market insights and trends fordifferent stand-alone and embedded technologies. Analyze embedded and stand-alone MRAM applications including: total addressable markets,roadmaps, market drivers, challenges and players’ objectives. Describe established and newly emerging magnetic memory technologies including: working principles,manufacturing methods, advantages and limitations, average selling price and time-to-market. Outline the latest activities for each key market player, as well as the current product development status. Provide roadmaps with technological nodes, chip density and price evolution. Analyze the MRAM ecosystems and its competitive landscape: Mapping of the entire supply chain Recent acquisitions, partnerships and funding Latest company newsCOMPANIES MONITORED IN THE PRODUCTA*STAR, Advantest, Aeroflex, Antaios, Applied Materials, Avalanche, Canon, Capres-KLA,CEA Leti, CNE, Crocus, CXMT, Cypress, Despatch, Dow, Evaderis, Evatec, Everspin, JHICC,GlobalFoundries, H-Grace, HFC Semiconductor, Hikstor, Hitachi, Honeywell, HP, Hprobe,Huawei, IBM, Imec, Infineon, Intermolecular, Inston, Intel, ITRI, Lam Research, Macronix,Maxim, Materion, Mediatek, Merk, Microchip, Micron, MicroSense Mythic, Nantero, Nanya,National Tsing Hua University, Nokia, Numen, NVE Corporation, NXP, OHT, Panasonic,Qualcomm, Quantum, Reliance, Renesas, Samsung, SanDisk, Seagate, SK Hynix, Shanghai Ciyu,SilTerra, Singulus, Smart Modular Technologies, SmartTip, SMIC, Sony, Spin Memory, Spintec,STMicroelectronics, Stanford University, Synopsis, Syntiant, TDK, TEL, TEL Magnetic Solutions,Teledyne e2v, Tezzaron, Tohoku University, Toshiba, Towerjazz, Tsinghua Unigroup , TSMC,UMC, Veeco, Western Digital, Winbond, XFab, XMC, YMTC, and more.AUTHORSSimone Bertolazzi, PhD is a Technology& Market analyst at Yole Développement(Yole) working with the Semiconductor &Software division. He is member of the Yole’smemory team and he contributes on a dayto-day basis to the analysis of nonvolatilememory technologies, their related materialsand fabrication processes. Previously, Simonecarried out experimental research in the fieldof nanoscience and nanotechnology, focusingon emerging semiconducting materials andtheir opto-electronic device applications. He(co-) authored more than 15 papers in highimpact scientific journals and was awardedthe prestigious Marie Curie Intra-EuropeanFellowship. Simone obtained a PhD inphysics in 2015 from École PolytechniqueFédérale de Lausanne (Switzerland), wherehe developed novel flash memory cells basedon heterostructures of two-dimensionalmaterials and high-k dielectrics. Simoneearned a double M. A. Sc. degree fromPolytechnique de Montréal (Canada) andPolitecnico di Milano (Italy), graduating cumlaude.TABLE OF CONTENTS (complete content on i-Micronews.com)Introduction7Noteworthy MRAM news 2017-201919Context - Overview of the memory business 65 Stand-alone memory – NAND, DRAM, NOR,(NV)SRAM Embedded memory – eFlash, eDRAM, SRAM E merging non-volatile memories – MRAM, PCM,RRAM, etc.Overview of MRAM applications and markettrends107Market forecast and financial analysis114Stand-alone MRAM applications and markettrends124 Industrial, transportation and other (NVSRAM) E nterprise storage – SSD caching and storageaccelerators Persistent memory (NVDIMM)Embedded MRAM applications and markettrends148 E mbedded storage (eFlash) – Code/data storagein MCUs and other ASICS/ASSP chips E mbedded memory (slow SRAM) – Low-powermemory for ASICS/ASSP chips E mbedded cache memory (SRAM, eDRAM) –Fast memory for high-level caching in CPU andmobile processorsLong-term potential applications180MRAM supply chain and player dynamics184 Supply chain analysis MRAM players and activities Mergers and acquisitions, partnerships and fundingChina MRAM development223Technology description and trends231 MRAM technologies MRAM manufacturing Next-generation magnetic memories (SOT- andVC-MRAM)Summary and conclusions264Yole Développement’s presentation271RELATED REPORTSBenefit from our Bundle & Annual Subscription offers and access our analyses at the best availableprice and with great advantages Status of the Memory Industry 2019 Memory Packaging Market and TechnologyTrends 2017 NAND and DRAM Memory ResearchService – Monitor Leading-edge 3D NAND MemoryComparison 2018 - Reverse Costing –Structure, Process & Cost Report by SystemPlus ConsultingFind all our reports on www.i-micronews.comEmilie Jolivet is Director of theSemiconductor & Software Division at YoleDéveloppement, part of Yole Group ofCompanies, where her specific interestscover package & assembly, semiconductormanufacturing, memory and software &computing fields. Based on her valuableexperience in the semiconductor industry,Emilie manages the expansion of the technicaland market expertise of the Semiconductorand Software Team. The team interactsdaily with leading companies allowingsemiconductor & software analysts tocollect a large amount of data and integratetheir understanding of the evolution of themarket with technology breakthroughs. Inaddition, Emilie’s mission focusses on themanagement of business relationships withsemiconductor leaders and the developmentof market research and strategy consulti

2019 www.yole.fr MRAM Technology and Business Sample 6 After recognizing the growing importance of MRAM -particularly within the embedded space -Yole decided to publish the new report "MRAM Technology and Business",which provides a detailed overview of the latest MRAM technology developments together with a high-resolution snapshot of the current state of the MRAM ecosystem

Related Documents:

Magnetic random access memory (MRAM) is a promising nonvolatile memory technology targeted on on-chip or embedded applications. Storage density is one of the major design concerns of MRAM. In recent years, many researches have been performed to improve the storage density and enhance the scalability of MRAM, such as shrinking the size and switch-

torque MRAM, the technology has reached wide adoption in the industry. MRAM has proven to be a versatile technology able to cover a large range of applications, from nonvolatile embedded memory to - DRAM-like standalone products. In its next incarnation, MRAM will be targeted to higher performance applications such as last-level cache for CPUs.

Financial analysis of leading emerging NVM companies Report on ferroelectric technologies (e.g., FeFET) and other newly emerging devices: SOT-MRAM, VCMA-MRAM, CeRAM and NRAM Overview of equipment - technology, challenges, and solutions - for manufacturing for manufacturing STT-MRAM Analysis of the impact of the

offers simpler and more area- and power- efficient design compared to the existing STT-MRAM cell design. Some device-circuit co-design issues are investigated to demonstrate ways to reduce delay in MRAM circuits based on MTJ. An 8x8 conventional MRAM array is implemented

MRAM trending up while PCM/PRAM is down 2013-2014 2015-2016 % Change DRAM 725 766 5 NAND 542 551 2 RRAM 369 399 8 PCM PRAM 227 208 -9 MRAM 251 323 28 Total 2114 2247 6 Source: IEEE Xplore keyword search

SOT-MRAM and STT-MRAM and confrontation with experimental field-voltage measurements. Figure 1: a) TEM Cross section of a SOT-MTJ [3]. b) Typical time evolution of the temperature of the MTJ sample for different bias voltage pulses. c) Temperature-induced variation of the effective anisotropy of a CoFeB free layer depending on its Curie

300 mm BEOL Integration: Scalable to 1x nm Advanced BEOL integration scheme developed and matured (40-50 nm) in world-class foundry . Avalanche STT-MRAM Architectures Enable Expanded Markets . AVALANCHE PROPRIETARY AND CONFIDENTIAL THANK YOU 10 46600 Landing Parkway - Fremont CA 94538 - T: 510 897-3300 - F: 510 438-0143 - www .

Introduction to Description Logic Szymon Klarman (part of the content based on the tutorial by Stefan Schlobach) szymon.klarman@gmail.com VU University Amsterdam, 2009-2012. AR@AI Introduction to Description Logic Plan for today Tableau algorithm for ALCwith empty TBoxes Soundness, completeness, termination Reasoning w.r.t. non-empty TBoxes Szymon Klarman 1 / 1. AR@AI Introduction to .