Semiconductor Microelectronics And Nanoelectronics Programs

3y ago
18 Views
2 Downloads
4.95 MB
254 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Grant Gall
Transcription

On the cover (top to bottom):Joseph Hodges operating frequency-stabilized cavity ring-down spectroscopy (CRDS)for measuring very low concentration contaminants in process gases.Time-resolved vertical distribution of optical emission at 750nm from a dual-frequencycapacitively-coupled plasma.Critical Dimension Small Angle X-Ray Scattering (CD-SAXS) detector image resultingfrom a dense array of 60 nm vias.Curt Richter loads a molecular electronic sample for electrical characterization.Photo copyright Robert Rathe.

SEMICONDUCTOR MICROELECTRONICSAND NANOELECTRONICS PROGRAMSNISTIR 7426July 2007U.S. DEPARTMENT OF COMMERCECarlos M. Gutierrez, SecretaryTechnology AdministrationRobert Cresanti, Under Secretary of Commerce for TechnologyNational Institute of Standards and TechnologyWilliam Jeffrey, Director

DISCLAIMERDisclaimer: Certain commercial equipment and/or software are identified in this report toadequately describe the experimental procedure. Such identification does not imply recommendation or endorsement by the National Institute of Standards and Technology, nor doesit imply that the equipment and/or software identified is necessarily the best available forthe purpose.References: References made to the International Technology Roadmap for Semiconductors(ITRS) apply to the most recent edition, dated 2005 or the ITRS 2006 Update.Semiconductor Industry Association. The International Technology Roadmap for Semiconductors, 2005 edition. SEMATECH: Austin, TX, 2005.These documents are available on-line at URL: http://public.itrs.net or in printed copy bycontacting SEMATECH, 2706 Montopolis Drive, Austin, TX 78741, ITRS department860-008, phone: (512) 356-3500.The reader will notice that there are acronyms and abbreviations throughout this documentthat are not spelled out due to space limitations. We have listed the acronyms and abbreviations in an appendix at the end of this document.

CONTENTSWelcome and Introduction . vOffice of Microelectronics Programs Organization .viiLithography Metrology Program . 1Metrology Supporting Deep Ultraviolet Lithography . 3Metrology Supporting Extreme Ultraviolet Lithography . 7Polymer Photoresist Fundamentals for Next-Generation Lithography . 13Critical Dimension and Overlay Metrology Program . 19Wafer-Level and Mask Critical Dimension Metrology . 20Model-Based Linewidth Metrology . 21Scanning Electron Microscope-Based Dimensional Metrology . 25Scanning Probe Microscope-Based Dimensional Metrology . 31Optical-Based Photomask Dimensional Metrology . 37Scatterometry-Based Dimensional Metrology . 41Small Angle X-Ray Scattering-Based Dimensional Metrology . 45Dimensional Metrology with Grazing Incident X-Ray Scattering . 49Atom-Based Dimensional Metrology . 51Fabrication and Calibration Metrology for Single-Crystal CD Reference Materials . 57Wafer-Level and Overlay Metrology . 63Front-End Processing Metrology Program . 69Wafer and Chuck Flatness Metrology . 71Modeling, Measurements, and Standards for Wafer Surface Inspection . 75Front-End Materials Characterization. 79Plasma Process Metrology . 89Interconnect and Packaging Metrology Program . 93Atomic Layer Deposition – Process Models and Metrology . 95Advanced Nanoscale and Mesoscale Interconnects . 101Nanoporous Thin-Film Metrology for Low-κ Dielectric Materials. 105Interconnect Materials and Reliability Metrology . 110Basic Materials Properties . 111Test Structures For Interconnect Metrology and Modeling . 119Pb-Free Surface Finishes for Electronic Components: Sn Whisker Growth . 125Process Metrology Program . 129Gas Property Data and Flow Standards for Improved Gas Delivery Systems . 131Low Concentration of Humidity Standards . 135Temperature Measurements and Standards for Semiconductor Processing . 141Physical Properties of Liquid Precursors . 145Semiconductor Microelectronics and Nanoelectronics Programsiii

Analysis Tools and Techniques Program . 147Thin-Film X-Ray Metrology for Microelectronics . 149Electron Microscope Tomography of Electronic Materials . 155High-Resolution Microcalorimeter X-Ray Spectrometer for Chemical Analysis . 157Device Design and Characterization Program . 163Device Characterization and Reliability . 165Nanoelectronic Device Metrology . 169Power Semiconductor Device Metrology . 179Organic Electronics Metrology . 185Micro- and Nano-Electro-Mechanical Technology Metrology . 189NIST’s Center for Nanoscale Science and Technology Nanofab . 195Metrology for Spintronic Devices . 197BioElectronics Metrology . 203System Design and Test Metrology Program . 207Metrology for System-on-a-Chip (SoC) . 209At-Speed Test of Digital Integrated Circuits. 215Thermal Measurements and Packaging Reliability . 219Manufacturing Support Program . 227Factory Time Synchronization Standards Development for E-Manufacturing . 229E-Diagnostics Security. 233Engineering Chain Management in the Semiconductor Industry . 235NIST/SEMATECH e-Handbook of Statistical Methods. 237Abbreviations and Acronyms . 239Technical Contacts . 242ivNational Institute of Standards and Technology

WELCOMEANDINTRODUCTIONWELCOMEThe microelectronics industry supplies vital components to the electronics industry and tothe U.S. economy, enabling rapid improvements in productivity and in new high technologygrowth industries such as electronic commerce and biotechnology. The National Institute ofStandards and Technology, NIST, in fulfilling its mission of strengthening the U.S. economy,works with industry to develop and apply technology, measurements and standards; and applies substantial efforts on behalf of the semiconductor industry and its infrastructure. Thisreport describes the many projects being conducted at NIST that constitute that effort.HISTORICAL PERSPECTIVENIST’s predecessor, the National Bureau of Standards (NBS), began work in the mid-1950sto meet the measurement needs of the infant semiconductor industry. While this was initiallyfocused on transistor applications in other government agencies, in the early 1960s the Bureausought industry guidance from the American Society for Testing and Materials (ASTM) andthe U.S. Electronic Industries Association (EIA). ASTM’s top priority was the accurate measurement of silicon resistivity. NBS scientists developed a practical nondestructive methodten times more precise than previous destructive methods. The method is the basis for fiveindustrial standards and for resistivity standard reference materials widely used to calibratethe industry’s measurement instruments. The second project, recommended by a panel of EIAexperts, addressed the “second breakdown” failure mechanism of transistors. The results ofthis project have been widely applied, including solving a problem in main engine controlresponsible for delaying the launch of a space shuttle.From these beginnings, by 1980 the semiconductor metrology program had grown to employa staff of 60 with a 6 million budget, mostly from a variety of other government agencies.Congressional funding in that year gave NBS the internal means to maintain its semiconductormetrology work. Meeting industrial needs remained the most important guide for managingthe program.INDUSTRIAL METROLOGY NEEDSBy the late 1980s, NBS (now NIST) recognized that the semiconductor industry was applyinga much wider range of science and engineering technology than the existing NIST programwas designed to cover. The necessary expertise existed at NIST, but in other parts of theorganization. In 1991, NIST established the Office of Microelectronics Programs (OMP) tocoordinate and fund metrological research and development across the agency, and to providethe industry with easy single point access to NIST’s widespread projects. Roadmaps developed by the U.S. Semiconductor Industry Association (SIA) have independently identifiedthe broad technological coverage and growing industrial needs for NIST’s semiconductormetrology developments. As the available funding and the scope of the activities grew, thecollective name became the National Semiconductor Metrology Program (NSMP), operatedby the OMP.The NSMP has stimulated a greater interest in semiconductor metrology, motivating most ofNIST’s laboratories to launch additional projects of their own and to cost-share OMP-fundedprojects. The projects described in this book represent this broader portfolio of microelectronics projects. Most, but not all, of the projects described are partially funded by the NSMP,which is providing a 12 million budget in fiscal year 2007.Semiconductor Microelectronics and Nanoelectronics Programsv

FOSTERING NIST’S RELATIONSHIPSWITH THEINDUSTRYNIST’s relationships with the SIA, SEMATECH and its subsidiary, International SEMATECH Manufacturing Initiative (ISMI), and the Semiconductor Research Corporation (SRC)are also coordinated through the OMP. Staff from OMP and NIST Laboratories representsNIST on the SIA committees that develop the International Technology Roadmap for Semiconductors (ITRS), as well as on numerous SRC Technical Advisory Boards. NIST staff isalso active in the International National Electronics Manufacturers Initiative (iNEMI), theEIA, the International Organization for Standardization (ISO), and Semiconductor Equipmentand Materials International (SEMI). NIST supports the United States National CommitteeTechnical Advisory Group for the International Electrotechnical Commission Technical Committee TC113 on Nanotechnology Standardization for Electrical and Electronic Products andSystems (Technical Advisor, USNC TAG for IEC TC 113 on nanotechnology) by funding theTechnical Advisor to that organization.LEARN MORE ABOUT SEMICONDUCTOR METROLOGYATNISTThis publication provides summaries of NIST’s metrology projects for the silicon semiconductor industry and their suppliers of materials and manufacturing equipment. Each projectresponds to one or more metrology requirements identified by the industry in sources suchas the ITRS. NIST is committed to listening to the needs of industry, working with industryrepresentatives to establish priorities, and responding where resources permit with effectivemeasurement technology and services. For further information, please contact:Office of Microelectronic ProgramsNational Institute of Standards and Technology100 Bureau DriveBuilding 225, Room A317, Mail Stop 8101Gaithersburg, MD 20899-8101Telephone: (301) 975-4400Fax: (301) 975-6513e-mail: nsmp@nist.govInternet: http://www.eeel.nist.gov/ompviNational Institute of Standards and Technology

OFFICE OF MICROELECTRONICS PROGRAMSORGANIZATIONKNIGHT, Stephen (Director)(301) 975-2871stephen.knight@nist.govMARTINEZ DE PINILLOS, Joaquin V. (Senior Scientist)(301) 975-8125jack.martinez@nist.govBUCKLEY, Michele L. (Office Manager)(301) 975-4400michele.buckley@nist.govFrom left to right: Joaquin Martinez de Pinillos, Stephen Knight, and Michele Buckley.Semiconductor Microelectronics and Nanoelectronics Programsvii

LITHOGRAPHY METROLOGY PROGRAMAdvances in lithography have largely driven the spectacular productivity improvements ofthe integrated circuit industry, a steady quadrupling of active components per chip everythree years over the past several decades. This continual scaling down of transistor dimensions has allowed more and more components on a chip, lowered the power consumptionper transistor, and increased the speed of the circuitry. The shrinking of device dimensionshas been accomplished by shortening the wavelength of the radiation used by the lithographyexposure tools. The workhorse tools at this point operate at 193 nm. In order to further shrinkdimensions liquid immersion tools with water as the immersion fluid are being introduced.Further size reduction through the use of high index fluids, lens materials and photoresistfor 193 nm tools are under intense exploration for even higher numerical aperture systems.Looking beyond the deep ultraviolet, extreme ultraviolet radiation (EUV) at 13 nm is beinginvestigated, and demonstration tools are being designed and assembled. At least three alphatools were shipped to development consortia in 2006. The overall goal of this task is to support these developments in DUV and EUV. The areas of emphasis are characterization oflens materials, immersion fluids, laser calorimetry, radiation detector sensitivity and damage,EUV lens metrology, and metrology for the development of advanced photoresist materialsfor both DUV and EUV.Semiconductor Microelectronics and Nanoelectronics Programs1

2National Institute of Standards and Technology

METROLOGY SUPPORTING DEEP ULTRAVIOLETLITHOGRAPHYGOALSDevelop solutions to key optical metrology issues confronting the semiconductor lithographyindustry. These include development of measurement methods and standards for characterizingdeep ultraviolet (DUV) laser sources, detectors,and materials. One focus is on delivering highaccuracy measurements of DUV detector parameters and materials properties of immediate needby the industry. There is ongoing activity in thefollowing areas: standards development, calibration services, characterization of optical materials,sources, and detectors, in addition to advisingcustomers on in-house measurements.CUSTOMER NEEDSIncreasing information technology requirementshave created a strong demand for faster logiccircuits and higher-density memory chips. Thisdemand has led to the introduction of DUVlaser-based lithographic tools for semiconductormanufacturing. These tools, which employ KrF(248 nm) and ArF (193 nm) excimer lasers, haveled to an increased demand for accurate opticalmeasurements at DUV laser wavelengths.of integrated circuits. The pace of feature sizereduction and the introduction of new materialsand structures challenge existing measurementcapability.”TECHNICAL STRATEGYHigh-accuracy measurements of the index properties of UV materials are required for the design ofDUV lithography systems. NIST has been providing absolute index measurements at 193 nm and157 nm with an accuracy of about 5 ppm to theindustry using its DUV minimum-deviation-anglerefractometer. To improve on this absolute accuracy, NIST has constructed a new state-of-the-artminimum-deviation system and separately developed another system based on a vacuum ultraviolet(VUV) FT spectrometer and synchrotron radiationas a continuum source (see Fig. 1). Both of thesesystems enable measurements to an accuracy of1 ppm, and are used to characterize high-indexlens materials and immersion fluids for 193 nmlithography systems.A new lithography technology, immersion lithography, depends on incorporating a high-index fluidbetween the optical system and the wafer andpossibly also incorporating a high-index materialas the last lens element. Design and developmentof 193 nm immersion lithography requires accurate measurements of the index properties of thepotential 193 nm fluids and materials.To support these efforts, the National Instituteof Standards and Technology (NIST), withSEMATECH, has developed a DUV metrologyprogram focusing on the characterization of DUVoptical materials, sources, and detectors.The potential challenges for lithographic development are discussed in the 2005 InternationalTechnology Roadmap for Semiconductors. Page 1of the Lithography section states: “Significantchallenges exist in extending optical projection lithography at 193 nm wavelength usingimmersion lenses .” The need for advancingmetrology in lithography is discussed on page 1of the Metrology section: “Metrology continues toenable research, development, and manufactureFigure 1. Facility for detector VUV radiationdamage study using synchrotron radiation fromSURF and a 157 nm excimer laser.DELIVERABLES: Measurement of the refractive indexof fused silica, CaF2, and water, as well as high-indexfluids and high-index lens materials, with an accuracyof 1 ppm. 4Q 2007Taking full advantage of the potential resolutiongain with immersion lithography may require using high-index materials as the last lens element,though as yet no such material has been demonstrated at 193 nm. To address this need we have,with the support of SEMATECH, undertaken andSemiconductor Microelectronics and Nanoelectronics Programs3Technical Contacts:J. H. BurnettM. Dowell“It’s an excellent serviceNIST has performed forthe entire industry. Thekind of thing NIST isthere for – to identifyissues before the trainwreck takes place.”Mordechai Rothschild,Massachusetts Instituteof Technology’sLincoln Laboratory

completed a survey of can

References: References made to the International Technology Roadmap for Semiconductors (ITRS) apply to the most recent edition, dated 2005 or the ITRS 2006 Update. Semiconductor Industry Association. The International Technology Roadmap for Semicon-ductors, 2005 edition. SEMATECH: Austin, TX, 2005.

Related Documents:

MICROELECTRONICS. Next generation microelectronics require increased performance and integration, advanced chip technology and miniaturization. Samtec’s extensive microelectronics and high-speed interconnect expertis

Commercial Off-The-Shelf (COTS)Reliability Concerns for COTS Microelectronics in Space & Military Applications Author: Mike Sandor, Shri Agarwal Subject: COTS Microelectronics Keywords: Commercial Off-The-Shelf, COTS, Reliability Concerns, Microelectronics, Space Application

ADEKA SUPER TEOS PRODUCT NAME Si(OC 2H5)4 CHEMICAL FORMULA APPLICATION Dielectric film/Semiconductor ADEKA HIGH-PURITY TEOP PO(OC 2H5)3 Dopant/Semiconductor ADEKA HIGH-PURITY TEB B(OC 2H5)3 Dopant/Semiconductor ADEKA HIGH-PURITY TiCl4 TiCl4 Electrode/Semiconductor ADEKA SUPER TMA Al(CH 3)3 High-k material/Semiconductor ADEKA ORCERA TDMAH Hf[N(CH 3)2]4 High-k material/Semiconductor

In International Symposium on Microelectronics (Vol. 2010, No. 1, pp. 000474-000478). International Microelectronics Assembly and Packaging Society. [2] Zhong, Z. W. (2008). Wire bonding using insulated wire and new challenges in wire bonding. Microelectronics In-ternational. [3] Evans Jr, D. D. (2013, January). Multipurpose Wire Bonding .

Microelectronics, or better named nanoelectronics, because the minimum structure size of state-of-the-art integrated electronic circuits are in the range of 20 nm and below, is the base of the products that significantly inf

Semiconductor Roadmap and Bioelectronics Dr. Ralph Cavin Semiconductor Research Corporation. Bioelectronics Roundtable * November 4, 2008 International Technology Roadmap for Semiconductors (ITRS) ITRS Emerging Research Devices (ERD) Chapter –Lessons from Nanoelectronics roadmap

Solid State Detectors – VI. Semiconductor Devices and Microelectronics Helmuth Spieler USPAS-MSU Course,June 25-29, 2012 5 High-speed bipolar transistors are implemented as vertical structures. (From Sze 1981, Wiley and Sons, reproduced with permission) The base width, typically 0.2 µm o

Step-by-step learning in playing and reading, starting from absolute scratch Performance pieces in a range of styles from classical and folk through to jazz A helpful and stimulating CD with recordings of the pieces together with many ‘play-along’ tracks and aural development exercises Explanation of music theory Warm-up exercises Even more performance pieces for each .