ASIC Computer-Aided Design Flow - Eng.auburn.edu

11m ago
6 Views
1 Downloads
627.08 KB
18 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Noelle Grant
Transcription

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Design Flow

ASIC Design Flow Behavioral Model VHDL/Verilog Verify Function Front-End Design Synthesis DFT/BIST & ATPG Gate-Level Netlist Full-custom IC Test vectors Standard Cell IC & FPGA/CPLD DRC & LVS Verification Verify Function Transistor-Level Netlist Physical Layout Map/Place/Route Verify Function & Timing Back-End Design Verify Timing IC Mask Data/FPGA Configuration File

“Front-End” Design & Verification VHDL Verilog SystemC QuestaSim (digital) Leonardo Spectrum, Synopsys Design Compiler, Xilinx ISE (digital) QuestaSim (digital) Tessent DFTAdvisor, Fastscan Technology-specific netlist to back-end tools Create Behavioral/RTL HDL Model(s) Simulate to Verify Functionality Synthesize Circuit VHDL-AMS Verilog-AMS Questa ADMS (analog/mixed signal) Technology Libraries Design Constraints Simulate to Verify Function/Timing VITAL Library Design for testability ATPG ATPG Library Simulate to Verify Function/Timing VITAL Library

ASIC “back end” (physical) design Assume digital blocks/standard cells (can also do full custom layout, IP blocks, mixed-signal blocks, etc.) ASIC Hierarchical Netlist Std. Cell Layouts Floorplan Chip/Blocks Libraries Plan Rows, Place & Route Std. Cells Process data, Design rules Generate Mask Data Design Rule Check (DRC) Calibre IC Mask Data Cadence “SOC Encounter” “Innovus” “Virtuoso” Extract Parasitics, Backannotate Schematic Calibre ADiT Simulation Model Layout vs. Schematic (LVS) Check Calibre

Cadence SOC Encounter – Mod7 Counter Layout

Cadence Virtuoso - Chip layout From E. Brunvand Book

ASIC CAD tools available in ECE Modeling and Simulation Modelsim, Questa-ADMS, Eldo, ADiT (Mentor Graphics) Verilog-XL, NC Verilog, Spectre (Cadence) Active-HDL (Aldec) Design Synthesis (digital) Leonardo Spectrum (Mentor Graphics) Design Compiler (Synopsys), RTL Compiler (Cadence) Design for Test and Automatic Test Pattern Generation Tessent DFT Advisor, Fastscan, SoCScan (Mentor Graphics) Schematic Capture & Design Integration Pyxis Design Architect-IC (Mentor Graphics) Design Framework II (DFII) - Composer (Cadence) Physical Layout Pyxis IC Station (Mentor Graphics) SOC Encounter, Virtuoso (Cadence) Design Verification Calibre DRC, LVS, PEX (Mentor Graphics) Diva, Assura (Cadence)

IC Process Design Kits (PDKs) Foundry-specific data and models for a specific IC technology Used by the design tools Design components for both front-end & back-end design Design entry/modeling Technology/process data Layer definitions/parameters (Trans, R,C, ) Design rules Standard Cell Library Synthesis library Simulation models (Verilog, transistor) Physical designs (LEF models) Timing models (fast, typical, slow) Verification (DRC,LVS,PEX) DFT/test generation IP and device generators (RAM, etc.)

Global Foundries BiCMOS8HP 130nm PDK

Global Foundries BiCMOS8HP 130nm PDK Physical Design Cells - FILLx (row fill cells, x 1,2,4,8, ,128) - FGTIE (floating-gate tie-down) - NWSX (substrate and n-well taps) I/O

Global Foundries PDK Directory Structure IBM PDK/bicmos8hp/ version / Subdirectory Contents doc/ Technology Design Manual Model Reference Guide Layer Mapping File cdslib/bicmos8hp /esd8hp /Skill /examples /doc Cadence BiCMOS8HP Device Library (IC61) Cadence BiCMOS8HP ESD Library Context Files (Skill Utilities) Example Setup Files Cadence Library Documentation Assura/DRC /LVS /doc DRC Files LVS Files Assura Release Notes EM/ Electromagnetic Enablement E-M File Release Notes and Guide EMX Proc Files Momentum Layer and Substrate Files /doc /EMX /Momentum HSPICE/models /doc HSPICE Device Model Files HSPICE Release Notes Spectre/models /doc Spectre (Direct) Device Model Files Spectre Release Notes utils/ Kit Utility Programs

NCSU Cadence Design Kit (CDK) https://www.eda.ncsu.edu/wiki/NCSU CDK For analog/digital CMOS IC design via the MOSIS IC fabrication service (www.mosis.org) Version ncsu-cdk-1.6.0.beta for Cadence Virtuoso 6.1 and later Supports all MOSIS processes based on SCMOS rules ami 06/16, hp 04/06, tsmc 02/03/04 GDSII layer maps Diva DRC, LVS support (no PEX) Composer interfaces to HSPICE/Spectre, Verilog Technology-independent libraries for analog & digital parts Transistor models, layouts, etc. But – does not include standard cell layout library MOSIS wirebond pads (AMI 0.6μm, TSMC 0.4 μm, HP 0.6μm) Installed in /class/ELEC6250/ncsu-cdk-1.6.0.beta

U. of Utah CDK (used in Dr. Brunvand’s book) /class/ELEC6250/UofUtah/ UofU TechLib ami06 UofU-modified tech library for AMI C5N 0.5 micron CMOS process, in the NCSU CDK framework (AMI acquired by ON Semiconductor for 915M in 2008) UofU Digital v1 2 Std. Cell library (37 cells, use M1 & M2) UofU Digital v1 2.db: compiled library file for Synopsys Design Compiler UofU Digital v1 2.lef: abstract layout information file for place and route tools UofU Digital v1 2.lib: library characterization file UofU Digital v1 2.v:Verilog interface and simulation behavior file UofU Digital v1 2 behv.v:Verilog models with timing “specify” blocks UofU Pads Pad cells and frames based on the MOSIS-supplied .5μm pads from Tanner, but UofU-modified to pass DRC and LVS UofU AnalogParts UofU-modified transistor models that add delay to the switch-level simulation of those devices

UofU Digital v1 2 CMOS cell library AND3X1: 3-input AND AOI21X1, AOI22X1: AND-OR-Invert gates Xn drive strength BUFX2, BUFX4, BUFX8: non-inverting buffers DCBNX1, DCBX1, DCNX1, DCX1: D-type flip flops with active-low clear. B means that the device includes both Q and QB outputs. N means active-low clock. ENINVX1, ENINVX2: enabled (tri-state) inverters FILL, FILL2, FILL4, FILL8: filler cells of different widths for filling in std cell rows INVX1, INVX16, INVX2, INVX4, INVX8: inverters LCNX1, LCX1: level-sensitive (gated) latches with active-low clear. N means active-low gate MUX2NX1, MUX2X2: 2-way muxes. N means an inverting mux NAND2X1, NAND2X2, NAND3X1: NAND gates with 2 and 3 inputs NOR2X1, NOR2X2, NOR3X1: NOR gates with 2 and 3 inputs OAI21X1 OAI22X1: OR-AND-Invert gates TIEHI, TIELO: Cells used to tie inputs high or low XNOR2X1: 2-input XNOR XOR2X1: 2-input XOR

SoC Design Flow (Using IP cores) Hardware IP cores Integrated Hardware Purchase HW cores Purchase SW drivers SoC Design specifics HW/SW partitioning Functional Simulation Prototype on platforms e.g. FPGA Physical optimization and fabrication HW/ SW co-verification Volume manufacture and ship PCB manufacture and device assembly Software drivers IP Vendors: core design Integrated Software Software Simulation Fabless Vendors: SoC design Application development and test Foundries: Chip fabrication Device vendors: Final products

FPGA Design Flow Behavioral Design Mentor Graphics Front-End Tools (Technology-Independent) Verify Function Synthesis Gate-Level Schematic Verify Function EDIF Netlist Xilinx/Altera/Other Back-End Tools (Technology-Specific) Map, Place & Route FPGA Configuration File Verify Timing

Xilinx/Altera FPGA/CPLD Design Tools Simulate designs in Modelsim (or other simulation tools) Behavioral/RTL models (VHDL,Verilog) Synthesized netlists (VHDL, Verilog) Requires “primitives” library for the target technology Synthesize netlist from behavioral/RTL model Vendor-provided: Xilinx Vivado (previously ISE), Altera Quartus II Leonardo (Levels 1,2,3) has FPGA & ASIC libraries (ASIC-only version installed at AU) Vendor tools for back-end design Map, place, route, configure device, timing analysis, generate timing models Xilinx Vivado (previously ISE - Integrated Software Environment) Altera Quartus II Higher level tools for system design & management Xilinx Platform Studio : SoC design, IP management, HW/SW codesign Mentor Graphics FPGA Advantage

Design for Test and Automatic Test Pattern Generation Tessent DFT Advisor, Fastscan, SoCScan (Mentor Graphics) Schematic Capture & Design Integration Pyxis Design Architect- IC (Mentor Graphics) Design Framework II (DFII) - Composer (Cadence) Physical Layout Pyxis IC Station (Mentor Graphics) SOC Encounter, Vrituoso (Cadence)

Related Documents:

CAD/CAM Computer-Aided Design/Computer-Aided Manufacturing CADD Computer-Aided Design and Drafting CADDS Computer-Aided Design and Drafting System CADE Computer-Aided Design Equipment CADEX Computer Adjunct Data Evaluator-X CADIS Communication Architecture for Distributed Interactive Simulation CADMAT Computer-Aided Design, Manufacture and Test

Aided Machining became an acronym for Computer Aided Manufacturing (CAM). Earlier Computer Aided Manufacturing used to denote computer use in part-programming only. Today it means any non design function of manufacturing that is computer aided. In figure 2, CNC welding machine assisting in casting products. 1.3. Computer Aided Process Planning .

FPGA ASIC Trend ASIC NRE Parameter FPGA ASIC Clock frequency Power consumption Form factor Reconfiguration Design security Redesign risk (weighted) Time to market NRE Total Cost FPGA vs. ASIC ü ü ü ü ü ü ü ü FPGA Domain ASIC Domain - 11 - 18.05.2012 The Case for FPGAs - FPGA vs. ASIC FPGAs can't beat ASICs when it comes to Low power

The history of ASIC design for HEP is tied to the development of Si strip detectors. The first Fermilab ASIC : QPA02 (Quad Preamp), bipolar, semi-custom The Fermilab ASIC Group 2 2/24/2021 Rubinov ASIC Design and Development R. Yarema, "ASI Designat Fermilab", FERMILA-Conf-91/170 First Si strip detector at CERN NA11 (1981)

3 FPGA, ASIC, and SoC Development Projects 67% of ASIC/FPGA projects are behind schedule 75% of ASIC projects require a silicon re-spin Over 50% of project time is spent on verification Statistics from 2018 Mentor Graphics / Wilson Research survey, averaged over FPGA/ASIC 84% of FPGA projects have non-trivial bugs escape into production

ASIC or FPGA with few RTL code changes when migrating between FPGAs and ASIC, whereas the others embedded processors like Blackfin, MicroBlaze and PowerPC are proprietary and are not available in the ASIC technology. By using IP cores from Opencores to design a SoC, designer are able to prototype their system on FPGA platform with ASIC .

FPGA, ASIC, and SoC Development Projects 67% of ASIC/FPGA projects are behind schedule 75% of ASIC projects require a silicon re-spin Over 50% of project time is spent on verification Statistics from 2018 Mentor Graphics / Wilson Research survey, averaged over FPGA/ASIC 84% of FPGA projects have non-trivial bugs escape into production

A. Computer-aided welding fixture design workflow and step Various computer-aided fixture design (CAFD) systems have been developed through the years to assist the designer during the various stages of fixture design. A welding fixture is a fixture [7-10]. There are several main stages within the computer-aided welding