Fundamentals Of VLSI

3y ago
27 Views
2 Downloads
6.09 MB
65 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Aarya Seiber
Transcription

Fundamentals of VLSIIntroductionAndreas BurgTelecommunications Circuits Laboratory,EPFL, Switzerland

How to design a complex digital integrated circuits usingmodern design tools: The basic building blocks (transistor-level design) The basic building blocks (logic gates, memories, ) Basic performance metrics and behavior of digital circuits Designing complex circuits with design abstraction Design abstraction with Hardware Description Languages Froentend: From HDL to a digital integrated circuit Backend: Physical designAt the end of the course, you should be able to Understand all steps involved in the design process Design an integrated circuit from specification to tapeout Understand its behavior and optimize its performance2

The course is divided into two parts:Part-1: Fundamentals of digital integrated circuits Design on transistor level Full custom design Consider the basic building blocks Investigate the behavior of digital circuits on a small scalePart-2: Managing complexity with abstraction Design on the gate level Semicustom designHandle complex designs with millions of transistorsUnderstand and learn how to use the design toolsDesign and optimization techniques3

Introduction Course outline, history and trends in VLSI design How to design a chip: objectives, metrics, design methodology, tools, and ecosystemFullcustom design: basic logic gates and their characteristicsSemicustom design: handling complex designs Hardware description languages, focus on VHDL Verification, Testbenches, and Simulation with Modelsim Timing disciplines, synchronous design and timing Logic synthesis & Timing Analysis, with Synopsys DC Design for test: why test?, test coverage, scan chains, block isolation Floor Planning, Power Supply, P&R Cadence Encounter/Velocity Clock Tree Insertion and Advanced Clocking: clock skew, clock gating,multiple clocks, post-CTS timing analysis and timing optimization, reset tree Power analysis, Postlayout simulation Design for low power DRC/LVS4.lib.lefGeneration ofabstract views CMOS inverter and other logic gates: static and dynamic behavior, power consumption Sequential elements: timing requirements Standard cells

Classroom lectures cover 50% of the course hours and canbe Thursday and/or Friday Mandatory exercises/Labs in irregular intervals based oncontent of the course and can also be Thursday and/or Friday(announced on Moodle) Homework is the completion of the exercises We highly recommend you attend also the optional EDATP on Tuesady since it provides a lot of practicalbackground and additional practice for the exercises5

Graded exercises will count 50% toward the final grade Final exam counts 50% of your grade Final exam will be similar to the exercises plus a quizz Hint: make sure you attend all lectures and exercises6

Fundamentals of VLSILecture 1Andreas BurgTelecommunications Circuits Laboratory,EPFL, Switzerland

The Abacus: The first computation device Invented around 2400 BCE Still in use today Napier’s Bones:» Invented by John Napier ( 1590)» Addition, Multiplication, Logarithms Slide Rule:» Introduced in 1620» Analog Computer8

Binary Logic Pingala discovered the Binary NumeralSystem ( 300 BCE India) Leibniz described Binary Logic( 1650 Germany) Boolean Algebra was publishedby George Boole in 1854 Gottfried Wilhelm von LeibnizMechanical CalculatorsThomas Calculator» First calculator by Schickard (1623),followed by Pascal and Leibniz.» First mass-produced calculator byThomas (1820)9

Punch Cards In 1725 Bouchon developed an AutomaticLoom based on holes in paper. In 1801, Jacquard enabled using punch cardsJacquard Loomto control such a loom. In 1822, Charles Babbage described the Difference Engine,which is considered the first real computer design, though it wasonly made in 1991 (it is still operational at the London ScienceMuseum). In 1834, Babbage described the Analytical Engine based onpunch cards and a steam engine. It was the first generalpurpose programmable computer.10

20th Century Milestones 1896 - Herman Hollerith establishes the TabulatingMachine Company, later to become IBM (1924).Hollerith Punch Card Machine11

20th Century Milestones 1906 – The Electronic Valve is invented (De Forest). This isthe switch that enabled the development of the digitalcomputer. 1919 – The Flip Flop was proposed (Eccles, Jordan). 1937 – Alan Turing publishes paper describing the “TuringMachine” and sets the basis for computer theory. Turing isconsidered “The Father of Modern Day Computing”12

The Alan Turing Memorial Statuein ManchesterThe EnigmaGerman Encryption Machine that Turing helpeddecipher13

20th Century Milestones 1939 - First machine to calculate using vacuum tubesdeveloped.14

UNIVAC-1 (1951)First commercially successful electronic computer. Also, first generalpurpose computer. Worked with magnetic tapes.ENIAC (1946)Considered the first Universal Electronic Computer. Used 18,000electronic valves, weighed 30 Tons and consumed 25kW of power.Could do approximately 100,000 calculations a second.Intended to compute artillery firing tables (military)15

The first “Bug”Grace Brewster Murray HopperInventor of the infamous Bug!

Radio with first Printed Circuit Board (1942)17

The Transistor Era 1947 – A group at Bell Labs, headedby Shockley, invent the first transistorto replace the inefficient vacuum tube. 1952 – The idea of the IntegratedCircuit was conceived by Dummer.The first Transistor» 1958 – The first integrated circuitwas invented by Jack Kilby of TI.The first silicon IC was inventedby Robert Noyce of Fairchild half ayear later.Kilby’s Integrated Circuit18

The Transistor Era 1960 – First MOSFET Fabricated1962 – TTL Invented1963 – CMOS Invented (solve TTL Power issue)1964 – 1-inch silicon wafers introduced1965 – Moore’s Law (more in a minute )1967 – Floating Gate invented1970 – First commercial DRAM (1Kbit)1971 – Microprocessor invented1978 – Intel 8086/80881981 – IBM PC is introducedIntel 4004 (1971)1000 transistors1MHz operation19

The Xerox Alto (1973) MouseGraphical DisplayLANWYSIWYG EditorDrawing ProgramWindows UI20

Cray Supercomputer (1976)21

The Apple 1Great Great Great Grandfather of the iPhone DEC PDP-8The first “minicomputer”22

The IBM PC 5150 (1981)Intel 808823

In 1965, Gordon Moore noted that the number of transistors on achip doubled every 18 to 24 months.He made a prediction that semiconductor technology willdouble its effectiveness every 18 months151413121110987654321Electronics, April 19, 61964196319621961195901960LO G2 O F THE NUM BER OFC O M P O N E N T S P E R IN T E G R A T E D F U N C T IO N16

“The complexity for minimum component costs hasincreased at a rate of roughly a factor of two per year.Certainly over the short term, this rate can be expected tocontinue, if not to increase. Over the longer term, the rateof increase is a bit more uncertain, although there is noreason to believe it will not remain nearly constant for atleast 10 years. That means by 1975, the number ofcomponents per integrated circuit for minimum cost will be65,000.”Gordon Moore, Cramming more Components onto IntegratedCircuits, (1965).25

The more deviceson a single IC, themore functions wecan sell for thesame price.26

Moore’s Law is, at its base, an Economical law.27

Die size growth by 14% to satisfy Moore’s law28

Intel 4004MicroprocessorIntroduced 1971Clcok speed: 108 kHz2300 Transistors10um Technology29

Intel 8088MicroprocessorIntroduced 1979Clcok speed: 5 MHz29’000 Transistors3um Technology30

Intel PentiumMicroprocessorIntroduced 1993Clcok speed: 66 MHz3.1 Mio Transistors0.8um Technology31

Intel Pentium 4MicroprocessorIntroduced 2000Clcok speed: 1.5 GHz42 Mio Transistors0.18um Technology32

Intel Core 2 DuoMicroprocessorIntroduced 2006Clcok speed: 2.9 GHz291 Mio Transistors65nm TechnologyPower: 65W33

Quad-Core Intel XeonMicroprocessorIntroduced 2007Clcok speed: 3 GHz820 Mio Transistors45nm TechnologyPower: 45W34

Teraflops Research ChipIntroduced 200665nm Technology80 Processor cores 3.16 GHz62W 5.1 GHz175W 5.7 GHz265W1.0 Tflops1.6 TFlops1.8 TFlopsFor comparison: ASCI Red was thefirst supercomputer to reach Tflops in1996. That system used nearly10,000 Pentium Pro processorsrunning at 200MHz and consumed500kW of power plus an additional500kW just to cool the room thathoused it.35

Source: IntelPower Density arReactor8086Hot Plate10 40048008 80853862868080119701980P6Pentium 4861990Year2000201036

Operating frequencysaturates due tothermal limitsPossible solution: lowerfrequency, more parellelprocessing37Need for lowpower design

38

Very Large Scale Integration:How to design a complex system?Need for a well-defined design methodologyto handle the complexity.39

VerificationTapeout40

The golden rule: ALWAYS START WITH A BLOCK DIAGRAM! Identify blocksWhat do we need to perform thefunctionality Visualize structureHow are blocks connected Find critical pathsWhich block is the most critical(speed, area, power)? Divide and ConquerUse hierarchy, i.e., draw sub-blockdiagramsUse hierarchy also in yourblock diagram41

Hierarchy: “Divide and conquer” technique involves dividing amodule into submodules and then repeating this operation on thesub-modules until the complexity of the smaller parts becomesmanageable.Regularity: The hierarchical decomposition of a large system shouldresult in not only simple, but also similar blocks, as much aspossible. Regularity usually reduces the number of different modulesthat need to be designed and verified, at all levels of abstraction.Modularity: The various functional blocks which make up the largersystem must have well-defined functions and interfaces.Locality: Internal details remain at the local level. The concept oflocality also ensures that connections are mostly betweenneighboring modules, avoiding longdistance connections as muchas possible.42

Full CurstomASICSemi CurstomMaskedGate ArrayProgrammableFPGA43PLDCPLD

Advantages Everything is done on device/transistor level Full control over all device parameters Full flexibility w.r.t. circuit topology Excellent performanceVery high accuracy in simulationsNo strict separation between analog and digital partsStill the only option for analog youtCheck/ExtractDisadvantages Everything is done manually Limited design capacity (size) to few hundred devices Long design time and limited re-use No abstraction/approximation Slow44Post-LayoutSimulation

Schematic Entry45

Simulation using Spice or Spectre46

Layout: layers represent the masks for production47

In full-custom style, the designer has many degrees offreedom to optimize a circuit design: Adjust individual transistor dimensions (width, length, aspectratio, etc.) to satisfy: DC specifications (voltage levels, switching thresholds) Transient specifications (delay times, rise- and fall-times) Freely choose the most appropriate topology (placement androuting) for each circuit block. Decide on interconnection strategy between blocks. Decide for the global distribution of power, ground and clock.48

Increasing integration density no longer allows for design ontransistor level, neither on schematic, nor on layout levelIntel Pentium, 1993Few macros, but mostly builtusing automatic toolsIntel 8088, 1979Full-custom designNeed for a more automated that leaves the details to EDA tools49

Render the design process more efficiently by using Hierarchy: build complex designs from a collection of smallerand much simpler components which by themselves are againhierarchical Abstraction: simplified description/characterization ofcomponents as a model (black box) to better use them on thenext level of hierarchy Design automation: algorithms and tools to realize anabstract design description from components50

Layers of doped semiconductor materialor metal interconnect Possible arrangemet of these layers isdetermined by manufacturing process Details are one of the best guarded secrets ofa foundry (years to develop)Composition of layers determineselectrical and other characteristics Characterized by the complex laws of physics Technology Computer Aided Design (TCAD)tools used for analysis and simulationTCADTechnology51

Basic building blocks for circuit design that abstract the physicsof the technology layer to electrical characteristicsFoundries supply a Process Design Kit (PDK) which provides Devices (Transistors, Resistors, Capacitors, Diodes) Layers (e.g., for interconnect)Various “Flavors” of PDKs are available, e.g.: General Purpose/High Speed/Low Power RF/Image Sensor Flash/DRAMDevices are abstracted to compact electricalmodels for circuit simulations and design rules Generated through TCAD and/or measurements52DevicesTCADTechnology

Basic analog or digital circuits as hierarchical building blocks for morecomplex circuits Built from devices of the PDK with full control over all device parameters Characterized and optimized through circuit simulation, e.g., using SPICE Manual, carefully optimized compact layoutLibrary and IP providers offer for example: Standard cells: libraries of basic digital gates Digital macros such as RAMs/ROMs Analog macros such as ADCs/DACs/ Standard cells/macros are described byabstract models that capture Functionality.Interface (logical and electrical).Performance (e.g., delay and power)Physical appearance (e.g., size and shape)53Macros/Standard CellsFullcustomDesignDevicesTCADTechnology

Complex digital blocks (IPs) and complete complexdigital ICsSpecification of a digital circuit in aHardware Description Language (HDL) Defines computational logic and storageelements in a abstract wayRTLDesignSemicustomDesignMacros/Standard CellsSemicustom design: Frontend: Automatic translation of HDLinto a Gate-Level netlist (a circuit built fromstandard cells and macro blocks) Backend: Physical implementation of thedesign based on basic building blocksFullcustomDesignDevicesTCADRTL designs can be abstracted throughbehavioral models54Technology

Entire system (e.g., cell phone) Complex system comprised ofindividual (partially highly complex)components Communicating between componentsoften through complex interfaces LDesignSemicustomDesignMacros/Standard CellsFullcustomDesignDescribed either still in RTL by instantiating many otherRTL components or in a high-level or HDL languageinstantiating behavioral models of theRTL components55DevicesTCADTechnology

Digital macros(e.g., SRAM)Analog macros(e.g., ADCs)PadcellsComposed from fewmacros and digitallogic realized withstandard cells & padsDigital logic builtfrom standard-cells56

Standard cells andmacros aredeveloped inthe full-customdesign flowAbstract views ofstandard cells andmacros57

58

59

Modern hardware description languages allow structuraldescriptions that basically determine all interconnectionsbetween well-defined blocks:adder: component adder port map (a,b,ci,co,s);as well as purely behavioral descriptions like:sum a b - c;The synthesizer is responsible for converting the behavioraldescription into an optimized design.60

61

62

63

Fabless CompaniesCAD/EDAIP Vendors64Fab/Foundry

In VLSI Design, we always have a trade-off between:SpeedPowerReliabilityCost(Process/Area)For every circuit/system, we will have to evaluate thespecifications and choose the best trade-off thatmeet any potential hard constraints on some metricsIn this class, we discussed the basic metrics andrelationship between Speed, Power, and Cost.65

Course outline, history and trends in VLSI design How to design a chip: objectives, metrics, design methodology, tools, and ecosystem Fullcustom design: basic logic gates and their characteristics CMOS inverter and other logic gates: static and dynamic behavior, power consumption Sequential elements: timing requirements

Related Documents:

VLSI Design 2 Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device.

VLSI IC would imply digital VLSI ICs only and whenever we want to discuss about analog or mixed signal ICs it will be mentioned explicitly. Also, in this course the terms ICs and chips would mean VLSI ICs and chips. This course is concerned with algorithms required to automate the three steps “DESIGN-VERIFICATION-TEST” for Digital VLSI ICs.

VL2114 RF VLSI Design 3 0 0 3 VL2115 High Speed VLSI 3 0 0 3 VL2116 Magneto-electronics 3 0 0 3 VL2117 VLSI interconnects and its design techniques 3 0 0 3 VL2118 Digital HDL Design and Verification 3 0 0 3 VL2119* Computational Aspects of VLSI 3 0 0 3 VL2120* Computational Intelligence 3 0 0 3

Dr. Ahmed H. Madian-VLSI 3 What is VLSI? VLSI stands for (Very Large Scale Integrated circuits) Craver Mead of Caltech pioneered the filed of VLSI in the 1970’s. Digital electronic integrated circuits could be viewed as a set

15A04604 VLSI DESIGN Course Objectives: To understand VLSI circuit design processes. To understand basic circuit concepts and designing Arithmetic Building Blocks. To have an overview of Low power VLSI. Course Outcomes: Complete Knowledge about Fabrication process of ICs Able to design VLSIcircuits as per specifications given.

55:131 Introduction to VLSI Design 10 . Simplified Sea of Gates Floorplan 55:131 Introduction to VLSI Design 11 . SoG and Gate Array Cell Layouts 55:131 Introduction to VLSI Design 12 . SoG and Gate Array 3-in NAND 55:131 Introdu

VLSI Fabrication Process Om prakash 5th sem ASCT, Bhopal omprakashsony@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This is the field which involves packing more and more logic devices into smaller and smaller areas. Thanks to VLSI, circuits that would have

Principles of VLSI Design Introduction CMPE 315 Principles of VLSI Design Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text CMOS VLSI Design: A Circuits and Systems Perspective, Third Edition. by Neil H.E. Weste and David Harris. ISBN: 0-321-14901-7, Addison Wesl