High Gain Amplifiers Cascode And Cascade Configurations

1y ago
8 Views
2 Downloads
600.94 KB
61 Pages
Last View : 28d ago
Last Download : 3m ago
Upload by : Abram Andresen
Transcription

EE 330Lecture 34 High Gain Amplifiers Cascode and Cascade Configurations

Exam ScheduleExam 1Exam 2Exam 3FinalFriday Sept 24Friday Oct 22Friday Nov 19Tues Dec 14 12:00 p.m.

Photo courtesy of the director of the National Institute of Health ( NIH)As a courtesy to fellow classmates, TAs, and the instructorWearing of masks during lectures and in thelaboratories for this course would be appreciatedirrespective of vaccination status

Review from Last LectureCurrent Sources/MirrorsIinQ0AE0IoutQ1AE1npn Current Mirror AE1 Iout Iin AE0 Termed a “current mirror”Output current linearly dependent on IinServes as a current amplifierWidely used circuitBut Iin and Iout must be positive !

Review from Last LectureCurrent -Output Bipolar Current Source and Sink A Enk Ink I0 A E0 A En1 A Epk Ipk I0 A E0 A Ep0

Review from Last LectureCurrent Sources/Mirrorsiin ioutIBSQ0AE0MIBSQ1M AE1A E1A E0npn current mirror amplifier A E1 i out i in A E0 Amplifiers both positive and negative currents (provided iIN -IBS )Current amplifiers are easy to build !!Current gain can be accurately controlled with appropriate layout !!

Review from Last LectureCurrent Sources/Mirrors SummaryIinI0IoutIOU TQ0AE0Q1M0W0,L0M1W1,L1AE1npn Current Mirror AE1 Iout Iin AE0 n-channel Current Mirror W1 L0 Iout Iin W0 L1

Review from Last LectureLayout of Current MirrorsExample with M 2 W2 L1 M WL 1 2 2W1 2 W L1 2 L M 2 W1 2 W L1 2 L Standard layout 2W1 4 W L1 2 L M 2 W1 2 W L1 2 L Better Layout

Review from Last LectureCentroid and Common CentroidDenotes Geometric Centroid

Review from Last LectureCentroid and Common CentroidGeometric Centroids of ChannelM1M2M1M1

Review from Last LectureCentroid and Common CentroidM1M2M1M2

Review from Last LectureCentroid and Common CentroidCommon Centroid for Matched DevicesM1M2M2M1

Review from Last LectureCentroid and Common CentroidCommon Centroid for Matched DevicesM2M1M1M2

Review from Last LectureCentroid and Common CentroidCommon Centroid for Ratioed DevicesM2M1M W2 L1 2W1 L 2M2

Review from Last LectureGradientyThreshold voltagedependent upon positionVTH(x,y)‒ Significant changes in threshold voltagecan occur due to gradient effectsx‒ This can seriously degrade matching inmatching-critical circuits If the threshold voltage of a transistor changes with position, it can bereasonably accurately modeled with an “equivalent” threshold voltage For linear gradient, VTHEQ VTH(XC,YC): (XC,YC)

Review from Last LectureLayout of Current MirrorsExample with M 2 W2 L1 M WL 1 2 Standard layout 2W1 4 W L1 2 L M 2W 2 WL 2 L 1 1Better Layout 2W1 4 W L1 2 L M 2 W1 2 W L1 2 L Even Better Layout This is termed a common-centroid layout Linear gradient mismatch eliminatedwith common-centroid layout !

n-channel current mirror current amplifieriin ioutIBSMIBSM M1W1,L1W2 L1 W1 L 2M2W2,L2 W2 L1 i out i in W1 L2 Amplifies both positive and negative currents

Current 0multiple output n-channel current sink le output p-channel current source array Wk L0 Ik I0 W0 Lk

Current Sources/Mirrorsmultiple sourcing and sinking current mWpm,LpmWp2,Lp2Ip1Ip2 WL Ipj pj p0 MI0 Lpj Wp0 Ipm Wn0 L0 M L n0j W0 In1In2InkMn0Mn1Mn2MnkWn0,Ln0Wn1,Ln1Wn2,Ln2Wnk,Lnkm and k may be differentOften M 1 WL Inj nj 0 I0 Lnj W0

High-gain amplifierVDDIBVOUTVINA V 8000Q1VEEWhy are we interested in high-gain amplifiers? High gain amplifiers typically have some very undesirable propertiesNonlinear, gain highly dependent upon process variationsand temperature, frequency response poor, noisy, . So we can build feedback amplifiers !!

High-gain amplifierVDDA V 8000IBVOUTVINQ1VEEHow can we build the current source?What is the small-signal model of an actual current source?

Basic Current Sources and SinksBipolar Mirror-Based Current SinkBipolar Mirror-Based Current 1IXI0IXRAE1BiasingCircuitBiasing circuit uses same VCC as amplifier and no other independent sourcesIX

High-gain Biasing CircuitVDDBiasing Circuit Bias circuitry requires only a single independent dc voltage source ! Incremental overhead is only one transistor, QB

Basic Current Sources and SinksBasic Bipolar Current SinksBasic Bipolar Current SourcesIXVCCIXVXXIX JS AEeVXXVtVCCVYYIXIXVCCVCCRVCCIXIXIX RIXVCC -0.6VR Very practical methods for biasing the BJTs (or MOSFETs) can be used Current Mirrors often used for generating sourcing and sinking currents Can think of biasing transistors with VXX and VYY in these current sourcesIX

High-gain amplifierVDDA V 8000IBVOUTVINQ1VEEHow can we build the current source?What is the small-signal model of an actual current source?

Basic Current Sources and SinksSmall-signal Model of BJT Current Sinks and SourcesIXVXXNot Diode Connected !iBVBEgπgmVBEg0g0Small-signal model of all other BJT Sinks and Sources introducedso far are the same

Basic Current Sources and SinksSmall-signal Model of MOS Current Sinks and SourcesVGSgmVGSg0g0Small-signal model of all other MOS Sinks and Sources introduced thusfar are the same

High-gain amplifierVDDVOUTIBVOUTVINVIN-gmAV 1gm1VBE1g01g02VOUT-gm1-gm1AV g01 g02 2g01

High-gain amplifierVDDVCCIBVYYVOUTVOUTVINQ1VEE-gmAV g0gm gm1 VAF 8000g0 g01 VtQ1VINVEE-g m1AV 2g 01 8000 4000 Nonideal current source decreased the gain by a factor of 2 But the voltage gain is still quite large (-4000)Can the gain be made even larger?

High-gain amplifierDiscussCan the gain be made even larger?The Cascode ConfigurationVXXVYYQ2VXXM2Q1M1Q1M1Q2VYYM2

The Cascode Amplifier (consider npn BJT version)VCCIBVXXVINDiscussVOUTQ2Q1VSS Actually a cascade of a CE stage followed by a CB stage but usuallyviewed as a “single-stage” structure Cascode structure is widely used

Basic Amplifier StructuresDiscuss1. Common Emitter/Common Source2. Common Collector/Common Drain3. Common Base/Common Gate4. Common Emitter with RE/ Common Source with RS5. Cascode (actually CE:CB or CS:CD cascade)6. Darlington (special CE:CE or CS:CS cascade)The first 4 are most popular

Cascode ConfigurationTwo-port model of cascode V1( VX V2 ) g02 V2gm2 IX V1 gm1-V2 ( g01 gπ2 ) IX gO1Standard Form for Amplifier Two-Porti2i1V1RinA vRV2RoA v0V1V2V1 i 1RIN A VR V2V2 i 2RO A V0V1Observing V1 VIN and eliminating V2 between these two equations, we obtainVIN I1 and1gπ1 g01 g02 gπ2 gm2 gm1 ( g02 gm2 ) VX IX -VIN gg ggg g()()02 01 π2 02 π2 01

Cascode ConfigurationTwo-port model of cascode 1 g g g g g ( g g ) VX IX 01 02 π2 m2 -VIN m1 02 m2 g02 ( g01 gπ2 ) g02 ( gπ2 g01 ) VIN I1 1gπ1It thus follows for the npn bipolar structure that : g ( g g ) g g A VCC - m1 02 m2 - m1 m2 g02gπ2 g02 ( gπ2 g01 ) g02 ( g01 gπ2 ) g02gπ2 g0CC g g g g 01 02 π2 m2 gm2 gπCC gπ1g0CCVINV1gπCCAVCCV1VOUT

Cascode ConfigurationVCCIBVXXVINQ2Q1VSSVOUTDiscuss gm1gm2 A VCC - gg 02 π2 g g g0CC 02 π2 gm2 gπCC gπ1 gm1 gm1 A VCC - β β g02 g01 g01g0CC β Voltage gain is a factor of β larger than that of the CE amplifier with current source load Output impedance is a factor of β larger than that of the CE amplifier

Cascode ConfigurationVCCIBVXXVINQ2Q1VSSVOUTDiscuss gm1 gm1 A VCC - β β g02 g01 gg0CC 02β gm1 2VAF A VCC β 8000 100 g01 Vt A VCC 800, 000This gain is very large and only requires two transistors!What happens to the gain if a transistor-levelcurrent source is used for IB?

Cascode SSVINQ1VSS

Cascode S

High-gain amplifier 1It thus follows that g0CC A V A VCC g03 g0CC Butg0CC; g03/β g AA V A VCC 0CC VCCβ g03 This is a dramatic reduction in gain compared to what the ideal currentsource biasing provided

Cascode ConfigurationQ3VOUTBut recallQ2 gm1 A VCC g01 Q1VIN g AA V A VCC 0CC VCCβ g03 Thus gm1 AV g 01 ICQ V AF Vt AV 8000I Vt CQ V AF This is still a factor of 2 better than that of the CE amplifier with transistor currentsource A VCE gm1 2g01 It only requires one additional transistor But its not nearly as good as the gain the cascode circuit seemed to provide

Cascode Configuration ComparisonsVDDVCCIBVOUTVINAV Q1Q2VYY-gmg0VOUTQ1VINAV VEEVEEVCCIBVCCVOUTVYYVXXQ3VOUTQ2VXXVINQ1VSS-gm1-g m1g01 g02 2g01 g A V m1 β g01 VINQ2Q1 g g m1AV m1 g01 g g03 03 VSSGain limited by output impedance of current scource !!Can we design a better current source?In particular, one with a higher output impedance?

Better current sourcesNeed a higher output impedance than goThe output impedance of the cascodecircuit itself was very large !g01g0CC βCan a current source be builtwith the cascode circuit ?

Cascode current CCVCCVYYQ1VXXQ2IXIXVDDVYYVXXM1M2IX

Cascode current CCVYYQ1VXXQ2IXIXVDDVYYVXXM1M2IXAll have the same small-signal model g02 ( g01 gπ2 ) g0CC g g g g 01 02 π2 m2

Cascode ConfigurationDiscussVCCVZZVYYQ3 g βA V m1 g01 2Q4VOUTVXXQ2A V 8000 100 400,0002This gain is very large and is a factor of 2 belowthat obtained with an ideal current source biasingVINQ1Although the factor of 2 is not desired, theperformance of this circuit is still very goodVSSThis factor of 2 gain reduction is that same as wasobserved for the CE amplifier when a transistorlevel current source was used

Cascode Configuration ComparisonsVCCVDDIBQ1VOUTDiscussVYYVOUTVINIBVCCAV -gmg0VXXVOUTQ1VINVEEAV -8,000VEEAV -g m12g 01Q2Q1VINVSSAV -4,000 g A V m1 β g01 AV -800,000VCCVCCVYYVZZQ3Q3VYYVOUTQ4VOUTVXXVINQ2Q1 g A V m1 g01 VXXVINVSSAV -8,000Q2 g βA V m1 g01 2Q1AV -400,000VSSCan we use more cascoding to further increase the gain?

High Gain Amplifiers Seldom Used Open LoopVCCVDDVZZDiscussQ3IBVYYVOUTVINQ1VEE-gAV mg0Q4VOUTVXXVINAV -8,000Q2Q1VSSAVIN g βA V m1 g01 2AV -400,000VOUTVOUT A VIN VOUTQIf AV -400,000 and VIN increases by 1mV, what would happen at the output?VOUT would decrease by 400,000 x 1mV -400V

The Cascode Amplifier (consider n-ch MOS version)DiscussVCCIBVXXVINM2M1VSSVOUT gm1gm2 A VCC - gg 01 02 g01g02 g0CC g m2 Same issues for biasing with currentsource as for BJT caseWith cascode current source for IB, gainonly drops by a factor of 2 from value withideal current source

The Cascode Amplifier (consider n-ch MOS SVXXVINM4M3M2VOUTVXXM1VSSDiscussVINM2M1VSS gm1gm2 A VCC - gg 01 02 gm1 A VCC - g 01 1 gm1gm2 A VCC - 2 g01g02

Current Source Summary Q1VXXQ2VSSQ1VSSg01g0 g01IXg01/ g01g0CC β

Current Source Summary 1IXg0g0 g01M1VZZVSSM1M2IXg0gg0 g01 02gm2

High Gain Amplifier Comparisons ( n-ch S g A V - m1 g01 VINVXXM1VSS1 g A V - m1 2 g01 VYYM3M2VZZVOUTVINM1M4M3VOUTM2VXXVXXVSSVIN g g A VCC - m1 m2 g01g02 M2M1VSS g A VCC - m1 g01 VINM1VSS1 g g A VCC - m1 m2 2 g01g02

High Gain Amplifier Comparisons CVEE-gAV mg0VEEVINVYYQ1VOUTVSS1 g m1AV 2 g01VCCQ3VXXVZZQ3Q2VYYQ4VOUT g A V m1 β g01 Single-ended high-gain amplifiers inherentlydifficult to bias (because of the high gain) Biasing becomes practical when used indifferential applications These structures are widely used but usuallywith differential inputsVINQ1VXXQ2VSS g A V m1 g01 VINQ1VSS g βA V m1 g01 2

The Cascode Amplifier Operational amplifiers often built with basic cascode configuration CMFB used to address the biasing problem Usually configured as a differential structure when building op amps Have high output impedance (but can be bufferred) Terms “telescopic cascode”, “folded-cascode”, and “regulated cascode”often refer to op amps based upon the cascode NITVB5M 11VSSTelescopic Cascode Op Amp(CMFB feedback biasing not shown)

Cascade M1M2VSSVSSTwo-stage CE:CE or CS:CS CascadeA VCB ?A VCM ?

Cascade VINM1M2VSSTwo-stage CE:CE or CS:CS Cascade -gm1 -gm2 gm1gm2gm1A VCB β g02 g01 g 2 g02 g 2g02 -gm1 -gm2 gm1gm2A VCM g01 g02 g01g02 Significant increase in gain Gain is noninverting Comparable to that obtained with the cascode but noninverting

Cascade VOUTVOUTVINM1M2VSSTwo-stage CE:CE or CS:CS Cascade -gm2 gm1gm2-gm1gm1A VCB β 2g02 g01 g03 g 2 g02 g04 2g 2g02 -gm1 -gm2 gm1gm2A VCM g01 g03 g02 g04 4g01g02Note factor or 2 and 4 reduction in gain due to actual current source bias

Cascade wo-stage CE Cascade VOUTVINQ1Q2Q3VEEThree-stage CE CascadeLarge gains can be obtained by cascadingGains are multiplicative (when loading is included)Large gains used to build “Op Amps” and feedback used to control gain valueSome attention is needed for biasing but it is manageableMinor variant of the two-stage cascade often used to build Op AmpsCompensation of two-stage cascade needed if feedback is applied to maintain stabilityFor many years three or more stages were seldom cascaded because of challengesin compensation to maintain stability though recently some industrial adoptions

Differential AmplifiersVDDR1R2VOUT1V1VOUT2Q1Q2V2ITAILVSSBasic operational amplifier circuit

Stay Safe and Stay Healthy !

End of Lecture 34

Biasing Circuit Biasing Circuit Biasing circuit uses same V . Very practical methods for biasing the BJTs (or MOSFETs) can be used . 4. Common Emitter with R E / Common Source with R S 5. Cascode (actually CE:CB or CS:CD cascade) 6. Darlington (special CE:CE or CS:CS cascade)

Related Documents:

RF/IF Differential Amplifiers 5 Low Noise Amplifiers 7 Low Phase Noise Amplifiers 10 Gain Blocks 11 Driver Amplifiers 13 Wideband Distributed Amplifiers 13 Power Amplifiers 15 GaN Power Amplifiers 18 Variable Gain Amplifiers 19 Analog Controlled VGAs 19 Digitally Controlled VGAs 20 Baseband Programmable VGA Filters 21 Attenuators 22

RF/IF Differential Amplifiers 9 Low Noise Amplifiers 9 Gain Blocks and Driver Amplifiers 10 Wideband Distributed Amplifiers 11 Linear and Power Amplifiers 12 GaN Power Amplifiers 13 Active Bias Controllers 13. Variable Gain Amplifiers 14. Analog Controlled VGAs 14 Digitally Controlled VGAs 14 Baseband Programmable VGA Filters 14. Attenuators 15

51.3 Types of Semiconductor Optical Amplifiers SOAs can be classified as either subthreshold or gain clamped. Subthreshold amplifiers are lasers operated below threshold, and gain-clamped amplifiers are lasers operated above threshold but used as amplifiers. Subthreshold SOAs can be further classified according to whether optical feedback .

Semiconductor optical amplifiers (SOAs) Fiber Raman and Brillouin amplifiers Rare earth doped fiber amplifiers (erbium – EDFA 1500 nm, praseodymium – PDFA 1300 nm) The most practical optical amplifiers to date include the SOA and EDFA types. New pumping methods and materials are also improving the performance of Raman amplifiers. 3

Folded Cascode Op Amp Needs CMFB Circuit for V B4 Either single-ended or differential outputs Can connect counterpart as current mirror to eliminate CMFB Folding caused modest deterioration of A V0 and GB energy efficiency Modest improvement in output swing V IN V IN V OUT V OUT V DD V SS V SS I T V B4 V B3 V B2 V B1 M 1 M 2 M 7 M 9 M 8 M 10 M .

sistor amplifiers. Thirty years ago, transistor amplifiers were all the rage and valve amplifiers had become passe. Anybody who was anybody was discarding their large, hot and fragile valve amplifiers for small, cool, and — generally far more expensive — transistor amplifiers. Mullard and Philips had released their OC16's, which

Optical Amplifiers vs Regenerators (1 of 2) Transparent: Regenerators specific to bit rate and modulation format used; O-Amps are insensitive Easily upgraded: A system with optical amplifiers can be more easily upgraded to higher bit rate without replacing the amplifiers Optical amplifiers

The abrasive water jet machining process is characterized by large number of process parameters that determine efficiency, economy and quality of the whole process. Figure 2 demonstrates the factors influencing AWJ machining process. Shanmugam and Masood (2009) have made an investigation on the kerf taper angle, generated by Abrasive Water Jet (AWJ) machining of two kinds of composite .