National Institute Of Technology Warangal

1y ago
9 Views
2 Downloads
566.96 KB
45 Pages
Last View : Today
Last Download : 3m ago
Upload by : Mara Blakely
Transcription

NATIONAL INSTITUTE OF TECHNOLOGYWARANGALSCHEME OF INSTRUCTION AND SYLLABIM.Tech. – VLSI System DesignEffective from 2021-22

Department of ECENATIONAL INSTITUTE OF TECHNOLOGY WARANGALVISIONTowards a Global Knowledge Hub, striving continuously in pursuit of excellence in Education,Research, Entrepreneurship and Technological services to the societyMISSION Imparting total quality education to develop innovative, entrepreneurial and ethical futureprofessionals fit for globally competitive environment. Allowing stake holders to share our reservoir of experience in education and knowledge formutual enrichment in the field of technical education. Fostering product-oriented research for establishing a self-sustaining and wealth creatingcentre to serve the societal needs.DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERINGVISIONCreate an Educational environment to prepare the students to meet the challenges of modernelectronics and communication Industry through state of art technical knowledge and innovativeapproaches.MISSION To create learning, Development and testing environment to meet ever challenging needsof the Electronic Industry. To create entrepreneurial environment and industry interaction for mutual benefit. To be a global partner in training human resources in the field of chip design,instrumentation and networking. To associate with international reputed institution for academic excellence and collaborativeresearch.Scheme and Syllabiw.e.f. 2021-22

Department of ECEDepartment of Electronics and Communication EngineeringBrief about the Department:The Department of Electronics and Communication Engineering is one of the country’s larger ECEDepartments among all NITs in India and one of the largest departments of the National Institute ofTechnology, Warangal (NITW). The ECE Department at NITW has been an international reputation ofexcellence in teaching, research and service. With excellent laboratory facilities and dedicated faculty,the department of ECE offers broad range of programs that include undergraduate (B.Tech) and postgraduate (M.Tech) in Embedded Systems & Intelligent Instrumentation, VLSI System design,Communication Systems and research (Ph.D) programs. Some of the recent sponsored projectundertaken by the department includes Radar Emitter Identification using Neural Networks sponsoredby DLRL, Hyderabad and Special Manpower Development in VLSI sponsored by MIT-Govt. of India.List of Programs offered by the Department:ProgramTitle of the ProgramB.Tech.Electronics and Communication Engineering (ECE)M.Tech.Electronic Instrumentation & Embedded Systems (EI & ES)VLSI System Design (VLSI)Advanced Communication Systems (ACS)Ph.D.Electronics and Communication Engineering (ECE)Note: Refer to the following weblink for Rules and Regulations of M.Tech. ulesandregulations/NOTE: Refer to the following link for the guidelines to prepare dissertation heme and Syllabiw.e.f. 2021-22

Department of ECEM.Tech. – VLSI System DesignPROGRAM EDUCATIONAL OBJECTIVESPEOPEO1PEO2PEO3PEO4PEO5PROGRAM EDUCATIONAL OBJECTIVES (PEOs)Design and generate GDS files for digital, analog and mixed signal integratedcircuits using appropriate EDA tools, computational techniques, algorithmsand develop testing methods.Model passive and active devices suiting advances in IC fabricationtechnology.Develop VLSI architectures optimized for constrained environments, RFsystems and protocols.Communicate effectively and convey ideas using innovative engineering tools.Pursue lifelong learning as a means of enhancing knowledge base and skillsnecessary to contribute to the improvement of their profession and community.Program Articulation MatrixMapping of Mission statements with program educational objectivesPEOPEO1 PEO2Mission StatementsTo create learning, development and testingenvironment to meet ever challenging needs of33the Electronic industry.To create entrepreneurial environment and22industry interaction for mutual benefit.To be a global partner in Training the humanresource in the fields of Chip Design,23Instrumentation and Networking.To associate with internationally reputedInstitutions for academic excellence and22collaborative heme and SyllabiPEO3PEO4 PEO5322233223223w.e.f. 2021-22

Department of ECEM.Tech –VLSI System DesignProgram Outcomes (POs)POsProgram Outcomes (POs)PO1Engage in critical thinking and pursue investigations/ research and development tosolve practical problems.PO2Communicate effectively, write and present technical reports on complex engineeringactivities by interacting with the engineering fraternity and with society at large.PO3Demonstrate higher level of professional skills to tackle multidisciplinary and complexproblems related to VLSI System Design.PO4Model and offer solutions to issues related to device, IC design, testing and EDA tooldevelopmentPO5Comprehend the state of the art VLSI technologiesPO6Characterize and design analog, digital, RF and mixed signal subsystems meeting givenconstraints under deep sub micron environmentScheme and Syllabiw.e.f. 2021-22

Department of ECESCHEME OF INSTRUCTIONM.Tech. VLSI System Design – Course StructureI - Year, I – SemesterS. 05EC5206EC5248LTPCreditsMicrochip Fabrication Techniques3Device Modelling3Digital IC Design3Analog IC Design3Elective–I3Elective-II3Analog IC Design Laboratory0Digital IC Design Laboratory0Seminar0Total l Design Automation3Mixed Signal Design3Low power VLSI Design3Elective – III3Elective – IV3Elective – V3Mixed Signal Design Laboratory0Physical Design AutomationLaboratory0FPGA Design Lab0Seminar0Total CCPCCPECPECPCCPCCSEMI - Year, II – SemesterS. CSEMNote: PCC – Professional Core CoursesPEC – Professional Elective CoursesScheme and Syllabiw.e.f. 2021-22

Department of ECESCHEME OF INSTRUCTIONM.Tech. VLSI System Design – Course StructureII - Year, I – SemesterS. No.12CourseCodeEC6247EC6249Course NameLTPCreditsComprehensive VivaDissertation Part A21214TotalCat.CodeCVVDWII - Year, II – SemesterS. No.1CourseCodeEC6299LTPCreditsDissertation Part B2020TotalCreditsCORE COURSESElectiveLab heme and SyllabiCredits in Each 508020220203280w.e.f. 2021-22

Department of ECEProgram Elective CoursesS. No.1234Course CodeEC5211EC5212EC5213EC5214S. No.1234Course CodeEC5215EC5216EC5217EC5218S. No.1234Course CodeEC5261EC5262EC5263EC5264S. No.1234Course CodeEC5265EC5266EC5267EC5268S. No.1234Course CodeEC5269EC5270EC5271EC5272Scheme and SyllabiElective-1 (I Year, I Semester)CourseFPGA DesignPower Management IC DesignNano-electronic Materials and DevicesElectronic Design AutomationElective-2 (I Year, I Semester)CourseVLSI Test & TestabilityVLSI ArchitecturesHardware Description LanguagesCAD for VLSIElective-3 (I Year, II Semester)CourseFundamentals of NanoelectronicsFull Custom DesignASIC System DesignElectronic Systems PackagingElective-4 (I Year, II Semester)CourseHardware/Software Co-designCMOS RFIC DesignVLSI Design VerificationAdvanced VLSI InterconnectsElective-5 (I Year, II Semester)CourseEmbedded System and RTOSReliability of Devices and CircuitsModern Computer ArchitectureOrganic Electronicsw.e.f. 2021-22

Department of ECEDETAILED SYLLABUSM.Tech. – VLSI System DesignScheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5201Microchip Fabrication TechniquesCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4CO5Explain the unit fabrication process stepsExplain the functions of packagingAnalyze process yield and yield measurement for a processingPropose the process flow for novel MOS devicesConstruct the circuit layout using the design rulesCourse Articulation Matrix:PO1CO111CO3 1CO2PO2211PO3PO4PO5PO6111CO4CO5Syllabus:Overview of semiconductor industry, unit process steps for fabricationCrystal growth, Basic wafer fabrication operations, process yields, Semiconductor material preparation,Basic wafer fabrication operations, Yield measurement; Contamination sources, Clean roomconstruction; Oxidation: dry oxidation, wet oxidation; Photolithography: Ten step patterning process,Photoresists, physical properties of photoresists, Storage and control of photoresists, photo maskingprocess, Hard bake, develop inspect.Etching: Dry etching, Wet etching, resist stripping; Doping: Diffusion process steps, deposition, Drivein oxidation, Ion implantation-1, Ion implantation-2; Deposition: CVD basics, CVD process steps, Lowpressure CVD systems, Plasma enhanced CVD systems, Vapour phase epitaxy, molecular beam epitaxy;Chemical mechanical polishing; Metallization.Process flow for NMOS, PMOS, CMOS, BICMOS ICs, Novel MOS and GaN based devices.Design rules, stick diagrams and layout.Packaging: Chip characteristics, package functions, package operationsLearning Resources:Text Books:1. Microchip fabrication, Peter Van Zant McGraw Hill, 1997.2. Silicon VLSI Technology: Fundamentals, Practice and Modeling , Plummer, J.D., Deal, M.D. andGriffin, P.B., Prentice-Hall , 2000, 3rd Ed.3. ULSI technology , C.Y. Chang and S.M. Sze, McGraw Hill, 2000.4. VLSI Fabrication principles, S.K. Gandhi, John Wiley and Sons, NY, 1994.5. VLSI technology, S.M. Sze, McGraw-Hill Book company, NY, 1988.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5202Device ModelingCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4Develop mathematical models for modern MOS devices.Develop solution to overcome short channel issues.Develop various compact models appropriate for industry.Analyse current distribution in the devices like transistors, MOS devices.Course Articulation Matrix:PO1CO13CO2 2CO3 2CO4 1PO22332PO32121PO41121PO51111PO63211Syllabus:MOS Capacitor: Energy band diagram of Metal-Oxide-Semiconductor contacts, Mode of Operations:Accumulation, Depletion, Midgap, and Inversion, 1D Electrostatics of MOS, Depletion Approximation,Accurate Solution of Poisson’s Equation, CV characteristics of MOS, LFCV and HFCV, Non-idealitiesin MOS, oxide fixed charges, interfacial charges.The MOS transistor: Small signal modelling for low frequency and High frequency, Pao-Sah andBrews models; Short channel effects in MOS transistors.The bipolar transistor: Ebers-Moll model; charge control model; small-signal models for low and highfrequency and switching characteristicsCompact Modeling: Compact model Level 1, Level 2, Level 3, UTB/FD SOI MOSFET, FinFETs: I-Vcharacteristics, device capacitances, parasitic effects of extension regions, performance of simplecombinational gates and amplifiers, novel circuits using FinFETs and GAA devices.Learning Resources:Text Books:1. S. M. Sze, Physics of Semiconductor Devices, (2e), Wiley Eastern, 1981.2. M. Lundstrom, Fundamentals of Nanotransistors, World Scientific Publishing Co Pte Ltd 2017.3. Y. P. Tsividis, Operation and Modelling of the MOS Transistor, McGraw-Hill, 1987.4. E. Takeda, Hot-carrier Effects in MOS Trasistors, Academic Press, 1995.5. J. P. Colinge, “FinFETs and Other Multi-Gate Transistors,” Springer. 2009Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5203Digital IC DesignCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4CO5Design CMOS inverters with specified noise margin and propagation delay.Synthesize digital circuit using Verilog HDL.Implement efficient techniques at circuit level for improving power and speed ofDesignSynchronousSystems circuits.meeting timing constraints.combinationaland sequentialDesign memories with efficient architectures to improve access times, power consumption.Course Articulation Matrix:PO1CO122CO3 2CO4 1CO5 :MOS INVERTERS: Introduction, Definitions and Properties, Static CMOS Inverter, Static andDynamic Power Dissipation, CMOS inverter delay time definitions and calculations, FinFETDESIGNING COMBINATIONAL & SEQUENTIAL LOGIC GATES in CMOS: Static CMOSDesign, Dynamic CMOS Design, Power Consumption in CMOS GatesStatic Latches and Registers, Dynamic Latches and Registers, Alternative Register Styles, NonbistableSequential Circuits, Logic Style for Pipelined Structures.TIMING ISSUES IN DIGITAL CIRCUITS: Introduction, Synchronous Timing basics, Clock Skewand Jitter, Clock distribution techniques, Clock Generation and Synchronization.DESIGNING ARITHMETIC BUILDING BLOCKS: Introduction, The Adder: Circuit and LogicDesign, Multipliers: Shifters, Power Considerations in Datapath Structures.DESIGNING MEMORY: Introduction, Semiconductor Memories - An Introduction, The MemoryCore: RAM, ROM, Memory Peripheral Circuitry.Advanced Digital IC Design: Block level designLearning Resources:Text Books:1. Jan M Rabaey, Digital Integrated Circuits, 2nd Edition, Pearson Education, 2003.2. Sung-Mo Kang, CMOS Digital Integrated Circuits, 3rd Edition, McGraw-Hill, 2003.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5204Course Outcomes:Analog IC DesignCredits3-0-0: 3At the end of the course, the student will be able to:CO1CO2CO3CO4CO5Understand the significance of different biasing styles and apply them aptly for differentDesigncircuits all basic building blocks like sources, sinks, mirrors, up to layout level.Comprehend the stability issues of the systems and should be able to design OpAmp fullyIdentify the suitable different topologies of the constituent sub systems and correspondingCompensated against process, supply and temperature variations.Design Analog integrated system completely upto tape-out including parasitic effects.circuits as per the specifications of the system.Course Articulation O522232PO612121Syllabus:MOS FET device I/V characteristics, second order effects, Capacitances, body bias effect, Biasing Styles,MOS small signal Model, NMOS verses PMOS devices.Basic building blocks and basic cells-Switches, active resistors, Current sources and sinks, Currentmirrors: Basic current mirror, cascode current mirror, low voltage current mirror, Wilson and Widlarcurrent mirrors, voltage and current references.Single stage amplifier: Common source stage with resistive load, diode connected load, triode load, CSstage with source degeneration, source follower, CG stage, Gain boosting techniques, Cascode, foldedcascode, choice of device models.Differential amplifier: Quasi differential amplifier, significance of tail current source, errors due tomismatch, replication principle, qualitative analysis, common mode response, differential amplifier withMOS loads, single ended conversion, gilbert cellOperational amplifier-characterization, 2 stage OP amp, process and temperature independentcompensation, output stage.Band Gap Reference: General considerations, Supply independent biasing, temperature-independentreferences, negative-TC voltage, positive TC voltage, Bandgap reference, PTAT generation, constant gmbiasing, speed and noise issues, case study, curvature correction.PTAT, CTAT, Bandgap circuit, start-up circuit, curvature correction Design. Negative feedbackamplifier design with Nyquist criteria analysis.Learning Resources:Text Books:1. Pr Gray and Rg Meyer, Analysis and Design of Analog Integrated Circuits, 5th Edition, Wiley,2009.2. Mohammed Ismail and Terri Fiez, Analog VLSI: Signal and Information Processing, McGrawHill, 1994.3. Geiger, Allen and Stradder, VLSI Design Techniques for Analog and Digital Circuits, TataMcGraw-Hill Education, 2010.4. Design of Analog CMOS Integrated Circuit, Behad Razavi McGraw Hill Education, 2nd Edition2017Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5205Analog IC Design LabCredits0-0-4: 2Prerequisites: EC5204 Analog IC DesignCourse Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4CO5Understand the significance of different biasing styles and apply them aptly for differentDesign all basic building blocks viz., sources, sinks, mirrors, up to layout level.Analogcircuits.Comprehendthe stability issues of the systems and design OPamp fully compensatedSpecifysuitable supplytopologiesthe constituentsub systems and corresponding circuits as peragainst process,and uitstakingaccountthe parasitic effects.the specifications of the system.Course Articulation Matrix:PO1PO2CO122CO3 1CO4 1CO5 1CO211PO3PO432223PO522232PO622213Syllabus: All circuits till post layoutCycle 1:Lambda calculation for PMOS & NMOS, FT calculation, Transconductance plots, Single transistoramplifier, Ideal current source, PMOS current source, NMOS saturated load, Degenerative resistor,Cascade amplifier: Ideal current source, PMOS current source.Cycle 2:Current sinks: Basic current sink, Current sink with negative feedback, Bootstrap current sink, Cascodecurrent sink, Regulated cascode current sink.Current sources: Basic current source, Current source with negative feedback, Bootstrap current source,Cascade current source, Regulated cascade current source,Current mirrors: Basic current mirror, Wilson current mirror, Cascode current mirror, Regulated cascadecurrent mirror, Widlar current sourceFeedback topologiesDifferential amplifier, Two stage Operational amplifier designLearning Resources:Text Books:1. Pr Gray and Rg Meyer, Analysis and Design of Analog Integrated Circuits, 5th Edition, Wiley,2009.2. Mohammed Ismail and Terri Fiez, Analog VLSI: Signal and Information Processing, McGrawHill, 1994.3. Geiger, Allen and Stradder, VLSI Design Techniques for Analog and Digital Circuits, TataMcGraw-Hill Education, 2010.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5206Credits0-0-4: 2Digital IC Design LabPrerequisites: EC5203 Digital IC DesignCourse Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4Design of combinational and sequential circuits using Verilog HDL/ VHDLSynthesize digital circuit targeting state of the art FPGADesign combinational and sequential circuits at circuit level using EDA toolsImplement efficient techniques at circuit level for improving power and speed ofcombinational and sequential circuits using EDA tools.Course Articulation 2Syllabus:Cyle 1Design and Simulation of CMOS Inverter to study the transfer Characteristics by varying the designconstraints using EDA ToolsDesign and Simulation of logic gates using various logic styles and compare the performanceDesign a Full Adder cell using various configurations proposed in the literature and simulate to comparethe performance using EDA toolsCycle 2:Design, Develop HDL model, Simulate and Synthesize32-bit Parallel adder using 8-bit adder module, 32-bit Shift register using 8-bit Shift register moduleRTL to GDS-II: Design any System as a case StudyEDA Tools: Mentor Graphics ToolsLearning Resources:Text Books:1. Samir Palnitkar, Verilog HDL, 2nd Edition, Pearson Education, 2003.2. Douglas Perry, VHDL: Programming by Example, 2017Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5211FPGA DesignCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4Understand FPGA design flow.Identify the building blocks of commercially available FPGA/CPLDs.Develop VHDL/Verilog models and synthesize targeting for Vertex, Spartan FPGAs.Develop parameterized library cells and implement system designs using parameterizedcells.Course Articulation Matrix:PO1CO12CO2 2CO3 2CO4 ION TO FPGAs: Evolution of programmable devices, FPGA Design flow, Applicationsof FPGA.DEISGN EXAMPLES USING PLDs: Design of Universal block, Memory, Floating point multiplier,Barrel shifter.FPGAs/CPLDs: Programming Technologies, Commercially available FPGAs, Xilinx’s Vertex andSpartan, Actel’s FPGA, Altera’s FPGA/CPLD.Building blocks of FPGAs/CPLDs: Configurable Logic block functionality, Routing structures,Input/output Block, Impact of logic block functionality on FPGA performance, Model for measuringdelay.Routing Architectures: Routing terminology, general strategy for routing in FPGAs, routing for row –based FPGAs, introduction to segmented channel routing, routing for symmetrical FPGAs, example ofrouting in a symmetrical FPGA, general approach to routing in symmetrical FPGAs, independence fromFPGA routing architectures, FPGA routing structures. FPGA architectural assumptions, the logic block,the connection block, connection block topology, the switch block, switch block topology, architecturalassumptions for the FPGACASE STUDY – Applications using Kintex-7, Viretex-7, Artix-7.Learning Resources:Text Books:1. John V. Old Field, Richrad C. Dorf, Field Programmable Gate Arrays, Wiley, 2008.2. Data sheets of Artix-7, Kintex-7, Virtex-7 .3. Stephen D. Brown, Robert J. Francis, Jonathan Rose, Zvonko G. Vranesic, Field Programmable GateArrays, 2nd Edition, Springer, 1992.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5212Power Management IC DesignCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1 Understand why power management circuits are needed in a VLSI systemCO2 Understand different components of a power management system with focus on dc-dcconvertersCO3 Design a chip level dc-dc converter from a given system level specificationsCO4 Apply top-down design approach of a dc-dc converter topologyCourse Articulation 4Syllabus:Unit-1: Introduction to Power Management and Voltage Regulators Need of power management, powermanagement applications, classification of power management, power delivery of a VLSI system, powerconversion, discrete vs. integrated power management, types of voltage regulators (switching Vs linearregulators) and applications, converter’s performance parameters (voltage accuracy, power conversionefficiency, load regulation, line regulation, line and load transient response, settling time, voltagetracking), local Vs remote feedback, kelvin sensing, Point-of-Load (POL) regulators.Unit-2: Linear Regulators Low Drop-Out Regulator (LDO), Source and sink regulators, shunt regulator,pass transistor, error amplifier, small signal and stability analysis, compensation techniques, currentlimiting, power supply rejection ratio (PSRR), NMOS vs. PMOS regulator, current regulator.Unit-3: Switching DC-DC Converters and Control Techniques Types (Buck, boost, buck-boost), powerFETs, choosing L and C, PWM modulation, leading, trailing and dual edge modulation, Losses inswitching converters, output ripple, voltage Vs current mode control, CCM and DCM modes, smallsignal model of dc-dc converter, loop gain analysis of un-compensated dc-dc converter, type-I, type-IIand type-III compensation, compensation of a voltage mode dc-dc converter, compensation of a currentmode dc-dc converter, hysteretic control, switched capacitor dc-dc converters.Unit-4: Top-down Design Approach of a DC-DC Converter Selecting topology, selecting switchingfrequency and external components, sizing power FETs, segmented power FET, designing gate driver,PWM modulator, error amplifier, oscillator, ramp generator, feedback resistors, current sensing,PFM/PSM mode for light load, effect of parasitic on reliability and performance, current limit and shortcircuit protection, soft start control, chip level layout and placement guidelines, board level layoutguidelines, EMI considerations.Unit-5: Introduction to Advanced Topics in Power Management Digitally controlled dc-dc converters,digitally controlled LDOs, adaptive compensation, dynamic voltage scaling (DVS), Single-InductorMultiple-Outputs (SIMO) Converters, dc-dc converters for LED lighting, Li-ion battery chargingcircuits.Learning Resources:Scheme and Syllabiw.e.f. 2021-22

Department of ECEText Books:1. Switch-Mode Power Supplies: SPICE Simulations and Practical Designs, Christophe P Basso, BPBPublications, 20102. Power Management Techniques for Integrated Circuit Design By Ke-Horng Chen, Wiley-Blackwell,20163. Fundamentals of Power Electronics, 2nd edition by Robert W. Erickson, Dragan Maksimovic,Springer (India) Pvt. Ltd, 2005Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5213Nano-electronic Materials and DevicesCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4Understand the physics and materials for NanoelectronicsUnderstand the scaling issuesExplain the need for non-classical and non-silicon based devicesAnalyse the performance of novel devicesCourse Articulation Overview: Nano devices, Nano materials, Nano device characterization, Definition of Technology node,MOS capacitor, MOS Scaling theory, Moore’s Law and Koomey's law.Issues in scaling MOS transistors: Short channel effects, Description of a typical 65 nm CMOStechnology, Role of interface quality and related process techniques, Gate oxide thickness, scaling trend,SiO2 vs High-k gate dielectrics, Integration issues of high-k, Interface states, bulk charge, band offset,stability, reliability - Qbd high field, possible candidates, CV and IV techniques, Transport in NanoMOSFET, velocity saturation, ballistic transport, injection velocity, velocity overshoot, Metal gatetransistor : Motivation, requirements, Integration Issues.Non classical MOS transistor: Requirements, and Novel devicesSOI - PDSOI and FDSOI, Ultrathin body SOI - double gate transistors, integration issues.Vertical transistors - FinFET and Cylindrical gate FET.Novel devices: Tunnel FET, Negative-Capacitance (NC) FET.Metal source/drain junctions - Properties of schotky junctions on Silicon, Germanium andcompound semiconductors -Workfunction pinning.Germanium Nano MOSFETs : strain , quantization , Advantages of Germanium over Silicon, PMOSversus NMOS.Compound semiconductors - Compound semiconductors MOSFETs in the context of channelquantization and strain, Hetero structure MOSFETs, exploiting novel materials, strain, quantization.Emerging nano materials : CNT, Graphene, Nanotubes, nanorods and other nano-structures.Learning Resources:Text Books:1. Y. Taur and T. Ning, “Fundamentals of Modern VLSI devices” Cambridge University Press2. Nicollian and J. R. Brews “MOS (Metal Oxide Semiconductor) Physics and Technology” WileyPublishersScheme and Syllabiw.e.f. 2021-22

Department of ECE3. Brundle, C.Richard; Evans, Charles A. Jr.; Wilson, Shaun “Encyclopedia of MaterialsCharacterization”, Elsevier.4. Supriyo Datta, Lessons from Nanoelectronics A new Prospective on transport – Part A: BasicConcepts, World Scientific, 2017.5. J. P. Colinge, “FinFETs and Other Multi-Gate Transistors,” Springer. 20096. Related research papers.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5214Electronic Design AutomationCredits3-0-0: 3Course Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4CO5To learn a number of circuit analysis techniques such as nodal analysis, mesh analysis,To formulate equations and solve nonlinear networks.modified nodal analysis and hybrid analysis.To determine the convergence and stability boundary for multi-step or multi-stage methodsTo introduce special classes of multistep methods for the solution of electrical networks.applied to a linear system of ODEs.To introduce general purpose circuit simulators and learn the fundamental equations forsemiconductordevices.Course ArticulationMatrix:PO1CO122CO3 rmulation of network equations: Nodal, mesh, modified nodal and hybrid analysis equations; Sparsematrix techniques; Solution of nonlinear networks through Newton-Raphson technique; Multistepmethods: convergence and stability; Special classes of multistep methods: Adams-bashforth, AdamsMoulton and Gear's methods; Solution of stiff systems of equations; Adaptation of multistep methods tothe solution of electrical networks; General purpose circuit simulators.Review of semiconductor equations (Poisson, continuity, drift-diffusion, trap rate). Finite differenceformulation of these equations in 1D and 2D. Grid generation; Physical/empirical models ofsemiconductor parameters (mobility, lifetime, band gap, etc.); Computation of characteristics of simpledevices (p-n junction, MOS capacitor, MOSFET, etc.); Small-signal analysis.Learning Resources:Text Books:1. L.O. Chua and P.M. Lin, Computer aided analysis and electronic circuits, Prentice Hall, 1975.2. S. Selberherr, Analysis and Simulation of Semiconductor Devices, Springer-Verlag, 1984.3. N.J. McCalla, Fundamentals of Computer Aided Circuit Simulation, Kluwer Academic Publishers,1988.Scheme and Syllabiw.e.f. 2021-22

Department of ECECourse Code:EC5215Credits3-0-0: 3VLSI Test and TestabilityCourse Outcomes:At the end of the course, the student will be able to:CO1CO2CO3CO4CO5Identify the significance of testable designUnderstand the concept of yield and identify the parameters influencing the same.Specify fabrication defects, errors and faultsImplement combinational and sequential circuit test generation algorithmsIdentify techniques to improve fault coverageCourse Articulation 2212PO512222PO622Syllabus:Role of testing in VLSI Design flow, Testing at different levels of abstraction, Fault, error, defect,diagnosis, yield, Types of testing, Rule of Ten, Defects in VLSI chip. Modelling basic concepts,Functional modelling at logic level and register

Colinge, "FinFETs and Other Multi-Gate Transistors," Springer. 2009. Department of ECE Scheme and Syllabi w.e.f. 2021-22 Course Code: EC5203 Digital IC Design Credits 3-0-0: 3 Course Outcomes: At the end of the course, the student will be able to: CO1 . Department of ECE Scheme and Syllabi w.e.f. 2021-22 .

Related Documents:

Warangal Municipal Corporation (WMC) Warangal City Development Plan (CDP) Submitted to: Warangal Municipal Corporation J A N U A R Y 2011 Bella vista Campus, Khairatabad, Hyderabad. Submitted by: Urban Governance Area, Administrative Staff College of India (ASCI), Ph: 04066534221, Fax: 04023316211

BUT Corresponding Author: A. Veeresh Babu, Department of Mechanical Engineering, NIT Warangal, India. E-mail: veereshbabu196@gmail.com. Conversion Efficiencies of Urea –SCR System for Mahua Methyl Ester Fuelled DI Diesel Engine 12 3A. Veeresh Babu, Rajnish K. Calay and P.V. Rao 1Department of Mechanical Engineering, NIT Warangal, India 2Energy Technology, Narvik

Indian Institute of Technology Roorkee Roorkee IITR* Indian Institute of Technology Mandi Mandi IITMandi Indian Institute of Technology Ropar Ropar IITRPR South Zone Indian Institute of Technology Madras Chennai IITM* Indian Institute of Technology Hyderabad Hyderabad IITH Indian Institute of Technology Palakkad Palakkad IITPKD

Cork Institute of Technology 4. Dorset College 5. Dublin Business School 6. Dublin City University 7. Dundalk Institute of Technology 8. Galway Business School 9. Galway Mayo Institute of Technology 10. Griffith College 11. ICD Business School 12. Independent Colleges 13. Institute of Art, Design & Technology 14. Institute of Technology Carlow 15.

Mr.Amey Mankar Prof. Ram Meghe Institute of Technology and Research, Badnera Mr.AMIT GOEL Institute of Engineering and Technology Lucknow Mr.AMIT KUMAR Institute of Innovation in Technology and Management New Delhi Mr.Amit Pandia Jodhpur Institute of Engineering and Technology Jodhpur Mr.Amit Phulera Dehradun Institute of Technology Dehradun

NCI-CTCNCI National Cancer Institute-Common Toxicity Criteria National Cancer Institute NCI-CTCAENCI-CTC National Cancer Institute-Common Terminology Criteria for Adverse Events National Cancer Institute-Common Toxicity Criteria ORRNCI-CTCAE Objective Response Rate National Cancer Institute-Common Ter

2,000 stainless steel bio-digesters for Indian Railways 100 demo units across India 2 FSTPs using GeoTube technology in Warangal (TS) and Rajam (AP) 2. Explained 40,000 school children on usage of bio-toilets in Alwar District (Rajasthan) 3. Employs full time 700 youth to operate and maintain bio-toilets in trains 4. Research & Development

(Corporate Officer). Full day event, get a hamper and 10 via expenses for drinks. Andrew Tamplin is doing a morning session, breakout rooms including a live band, quiz, virtual Christmas choir, guided meditation/yoga, virtual pub, pets corner, creative room (cooking workshops, magic tricks, circus skills). Dec 11th.