ASIC Physical Design Post-Layout Verification

2y ago
24 Views
2 Downloads
1.70 MB
33 Pages
Last View : 1m ago
Last Download : 3m ago
Upload by : Hayden Brunner
Transcription

ASIC Physical DesignPost-Layout Verification

ASIC Physical Design (Standard Cell)(can also do full custom layout)Component-Level Netlist (Verilog)Std. cess DataDesign RulesGenerateMask DataPlace & RouteStd. CellsDesign RuleCheckCalibreIC Mask std cells)Virtuoso(chip assembly)Layout vs.SchematicCheckCalibreSPICE/ADiT Simulation Model

Cadence setup Copy files from /class/ELEC6250/CadenceFiles(Replace dot with a period. Example: .cdsenv)dotcdsenvto your home directorydotcdsinitto your project directorycds.libto your project directorydisplay.drfto your project directorydotsimrcto your project directoryaddpowerv1.txtto your project directory Edit your .bashrc file with the setup information from/class/ELEC6250/CadenceFiles/dotbasrch

Import digital block into Virtuoso Import GDSII layout information into Virtuoso: Encounter saves: mydesign.gds2 Import into a Cadence library File Import Stream Results in cell “layout” view Import circuit netlist into Virtuoso: Gate-level netlist saved by Encounter: mydesign.v Import netlist into a Cadence Library File Import Verilog Results in cell “schematic” and “symbol” views Gates replaced by transistors using “cdslib” components(Demonstration)

Virtuoso CIW (Command Interpreter Window)Cadence libraries and tools are accessed from the CIWImport/Export designsAccess librariesBICMOS8HP PDK Items

File Import StreamGDSII file from EncounterMy library for this cellName of top design cellTechnology libraryReplace Verilog [ ]with Click Translate

Importing the Verilog netlist Verilog netlists saved by Synopsys Design Compiler andCadence Encounter do not contain ports or definitions ofpower and ground connections. Manually add power/ground connections by executing thefollowing perl script from a linux command line.perl addpowerv1.txt design.v design vg.vwhere:addpowerv1.txt is provided with the setup filesdesign.v is the netlist generated by Encounterdesign vg.v is the netlist with VDD/GND added

Generated Verilog netlistmodule modulo6 (VDD, VSS,CLEARbar,L Cbar,CLK,I,Q);inout VDD, VSS;input CLEARbar;input L Cbar;input CLK;input [2:0] I;output [2:0] Q;Power/ground added

File Import VerilogMy library for this cellReference tech library*Verilog file(s)* Contains tech file for“311” bicmos8hpCreates schematicand symbol viewsReplace Verilog [ ]with

Library ManagerViewscreated byimportNew libraryNew cellDouble-click on view to open it in the appropriate tool.

Layout view of “modulo6”Calibre LVS/DRC/PEX

Schematic view of “modulo6”

Symbol view of “modulo6”

Verify correctness of layout Open layout in Virtuoso Verify with Calibre or Assura tools1.LVS (layout vs. schematic) Extract netlist from layout Compare extracted netlist to imported netlist2.DRC (design rule check) Checks all layout levels Errors should be fixed as appropriate3.PEX (parameter extraction) Extract netlist from layout, including R/C parameters Simulate netlist to verify functionality and timing

Calibre Layout-vs-Schematic (LVS) CheckLayoutSchematic

Layout vs schematic check(Calibre Interactive LVS) Compares extracted transistor-level netlist vs. netlist generatedfrom Verilog gate-level netlist From Layout GXL menu: Calibre Run LVS(Demonstrate )Mentor Graphics LVS Rules: ADK/technology/ic/process/tsmc035.calibre.rules Inputs/Layout: will be generated by Calibre Inputs/Netlist: count4.src.net (created in DA-IC)Top-level cell: count4 (schematic name) Inputs/H-cells (hierarchical cells): ADK/technology/adk.hcell Extracted file: count4.lay.net

Load rules filetsmc035

Calibre inputsLayout to be extractedby Calibre (GDSII format)Layout top cell nameExtracted layout netlistSource netlist created in DA-ICSchematic nameHierarchical cells file: ADK/technology/adk.hcell

Calibre RVE to probe LVS results

Post-layout functional/timing verification(Calibre PEX) Purpose: timing analysis & functional verification of the finaldesign analyze netlist extracted from layout parasitic wire capacitance parasitic wire to wire capacitance net and via resistance perform netlist & parameter extraction with Calibre PEX simulate in ADiT, Eldo, Spectre, PSPICE, HSPICE, etc.

Wire delay estimationTrThe distributed RC-lineR1RN-1R2C1C2RNCN-1CNVin2.5x L/10x L/4voltage (V)Diffused signalpropagation21.5x L/21Delay L2x L0.5000.511.522.53time (nsec)3.544.55

Parameter extraction with Calibre PEX Extract SPICE netlist, including parasitic RC Transistor-level, gate-level, or hierarchical extraction With the layout cell open: In the menu bar: Calibre Run PEX Input options: similar to Calibre LVS Extraction options (Outputs tab): choose “Transistor level” choose one of: C: lumped C coupling cap’sRC: distributed RCRCC: distributed RC coupling cap’s Click “Run PEX” Output files: modulo5.sp- main SPICE model (transistors)modulo5.sp.pex- extracted R/C (lumped)modulo5.sp.MODULO5.pxi - extracted C (coupling)

Extracted file – top levelInclude extracted R/CN transistorsource drain bulkgate

Extracted file – extracted R/CLumped capacitanceResistance

Calibre PEX inputsSpecify rules file: ADK/technology/ic/process/tsmc035.calibre.rules

Calibre PEX inputsSpecify rule file:Name of layout file (count4.gds)GDSII file formatCheck to generate new layout fileName of top cell (count4)Source(SPICE) netlist created in DA-ICTop-level cell name in SPICE netlistHierarchical cells file: ADK/technology/adk.hcell

Calibre PEX netlist outputLumpedcapacitanceUse net namesfrom LAYOUT

Designate GND and VDD nets

Verify with Calibre or Assura tools 1. LVS (layout vs. schematic) Extract netlist from layout Compare extracted netlist to imported netlist 2. DRC (design rule check) Checks all layout levels Errors should be fixed as appropriate 3. PEX (parameter extraction) Extract netlist from layout, including R/C parameters

Related Documents:

FPGA ASIC Trend ASIC NRE Parameter FPGA ASIC Clock frequency Power consumption Form factor Reconfiguration Design security Redesign risk (weighted) Time to market NRE Total Cost FPGA vs. ASIC ü ü ü ü ü ü ü ü FPGA Domain ASIC Domain - 11 - 18.05.2012 The Case for FPGAs - FPGA vs. ASIC FPGAs can't beat ASICs when it comes to Low power

The history of ASIC design for HEP is tied to the development of Si strip detectors. The first Fermilab ASIC : QPA02 (Quad Preamp), bipolar, semi-custom The Fermilab ASIC Group 2 2/24/2021 Rubinov ASIC Design and Development R. Yarema, "ASI Designat Fermilab", FERMILA-Conf-91/170 First Si strip detector at CERN NA11 (1981)

3 FPGA, ASIC, and SoC Development Projects 67% of ASIC/FPGA projects are behind schedule 75% of ASIC projects require a silicon re-spin Over 50% of project time is spent on verification Statistics from 2018 Mentor Graphics / Wilson Research survey, averaged over FPGA/ASIC 84% of FPGA projects have non-trivial bugs escape into production

ASIC or FPGA with few RTL code changes when migrating between FPGAs and ASIC, whereas the others embedded processors like Blackfin, MicroBlaze and PowerPC are proprietary and are not available in the ASIC technology. By using IP cores from Opencores to design a SoC, designer are able to prototype their system on FPGA platform with ASIC .

FPGA, ASIC, and SoC Development Projects 67% of ASIC/FPGA projects are behind schedule 75% of ASIC projects require a silicon re-spin Over 50% of project time is spent on verification Statistics from 2018 Mentor Graphics / Wilson Research survey, averaged over FPGA/ASIC 84% of FPGA projects have non-trivial bugs escape into production

Oct 30, 2014 · EE501 Lab 6 Layout and Post-layout Simulation Report due: Oct. 30, 2014 Objective: 1. Practice analog layout techniques 2. Practice post-layout simulation Tasks: 1. Layout the two stage amplifier designed in Lab 4(As shown in Fig 1) Common centroid layout of the fi

Certificate Program (GCP) in ASIC Design and Verification (ADV). ASIC stands for "Application Specific Integrated Circuit". It refers to a digital silicon chip designed and optimized for a small range of functions. ASIC Design and Verification courses have long been a strong feature of our MS offerings in ECE.

All 13 Layouts use White Daisy CS for bases, so you will need 26 sheets for your layouts. Whisper CS #3 4 x 12 Layout B 4 x 12 Layout B 4 x 12 Layout C Whisper CS #4 4 x 12 Layout C 4 x 12 Layout C 4 x 12 Layout C Saffron Letter B&T #1 (letters facing sideways) 6 x 10 ½ Layout A 6 x 8 Layout A 6 x 4 Layout K 6 x 1 ½ Cricut