Toward More Efficient NoC Arbitration: A Deep Reinforcement Learning .

1y ago
30 Views
2 Downloads
1.11 MB
6 Pages
Last View : 8d ago
Last Download : 3m ago
Upload by : Camille Dion
Transcription

Toward More Efficient NoC Arbitration:A Deep Reinforcement Learning ApproachJieming Yin*Yasuko Eckert*Shuai Che*Mark Oskin*†Gabriel H. Loh**Advanced Micro Devices, Inc.†University of Washington{jieming.yin, yasuko.eckert, shuai.che, mark.oskin, gabriel.loh}@amd.comAbstract—The network on-chip (NoC) is a critical resourceshared by various on-chip components. An efficient NoC arbitration policy is crucial in providing global fairness andimproving system performance. In this preliminary work, wedemonstrate an idea of utilizing deep reinforcement learningto guide the design of more efficient NoC arbitration policies.We relate arbitration to a self-learning decision making process.Results show that the deep reinforcement learning approach caneffectively reduce packet latency and has potential for identifyinginteresting features that could be utilized in more practicalhardware designs.I. I NTRODUCTIONAdvancements in computational speed, memory capacity,and “Big Data” have enabled a resurgence in artificial intelligence (AI). Within the broad field of AI is a subfield calledmachine learning, in which computers are iteratively trained onsets of data using various algorithms, and then apply what hasbeen “learned” to, for example, make predictions or to classifydata with new inputs. Deep learning is a subfield withinmachine learning in which the algorithms in question attempt,loosely, to mimic the structure and behavior of biological neurons in order to recognize patterns in data. Automated imagecategorization and natural language processing are commonapplications of deep learning, but there are many other emerging and future use cases for deep learning, including gaming,robotics, medicine, finance, high-performance computing, andmore. Deep learning has advanced in sophistication to such adegree that it is beginning to be applied to areas in which,traditionally, human expert knowledge and experience wasconsidered vital to decision making. Deep learning can, insome situations, augment human expert intelligence in orderto enable deeper insights and provide more effective decisionmaking than humans could accomplish without the applicationof these techniques.One such application of deep learning is in computer systemdesigns. Recent work has used machine learning for identifying patterns to aid optimizing memory-controller policies [1]and hardware prefetchers [2], [3]. We believe that these arejust the tip of the iceberg. We expect more designers to utilizedeep learning to discover previously unexploited patterns foroptimization, creating opportunities for unique innovations ata faster rate.In this paper, we use the design of network on-chip (NoC)arbitration policies as a case study for examining the potentialbenefits of deep-learning-assisted microarchitecture designs.The NoC is a shared resource that arbitrates on-chip trafficfrom various components, such as cores, caches, and memorycontrollers. Unoptimized arbitration logic can lead to suboptimal performance, but designing an efficient policy is achallenging task because the policy must be effective fordynamically variable traffic patterns with different levels ofmessage criticality while ensuring forward progress and fairness.We relate NoC arbitration to a reinforcement learning problem that addresses decision making. Reinforcement learningis a machine learning method in which the agent learnscontrol policies by interacting with a stochastic environment.To be specific, we augment the NoC arbiters with a deepQ-network that observes the router state and evaluates thelong-term performance impact of arbitration decisions. Duringexecution, the arbiter learns to optimize its arbitration policyto maximize long-term performance. Instead of building aninference neural network in hardware, this preliminary workaims to demonstrate the feasibility and effectiveness of applying deep reinforcement learning to assist in microarchitecturedesign. Results show that the proposed deep reinforcementlearning model is able to significantly reduce packet latencyunder synthetic traffic. Moreover, we believe the proposedapproach has potential for identifying useful behaviors andexploring new features that researchers can exploit in practical,implementable NoC arbitration policies.II. BACKGROUND AND P ROBLEM F ORMULATIONA. NoC ArbitrationAn NoC consists of routers that are connected with links.As numerous on-chip components (endpoints) compete forthe same network resources, arbitration becomes a criticalrouter functionality for controlling and hopefully reducingnetwork congestion. The arbiter grants an output port to oneof multiple input ports for sending a packet. Traditional roundrobin arbitration provides a high degree of fairness by treatingeach input port fairly and guaranteeing fairness in scheduling;however, it considers only local fairness for each router, andtherefore provides insufficient equality of service (i.e., linkbandwidth allocation becomes more unfair the longer theroutes are). Approximated age-based packet arbitration [4]provides better equality of service but has limitations regardingfairness of bandwidth allocation. Fair Queueing [5] and VirtualClock [6] achieve fairness and high network utilization bymaintaining per-flow state and queues, but they are costly toimplement in an NoC.

B. Reinforcement LearningIn reinforcement learning, the agent attempts to learns theoptimal actions that lead to maximum long-term reward byinteracting with the environment, and the environment returnsa numerical reward to the agent for each action it takes. Asone well-known branch of reinforcement learning, value-basedlearning (e.g., Q-learning) uses a Q-value to represent thequality of taking a particular action a when an agent is instate s [7]. In its simplest form, for each state s, there may beseveral possible actions to take. An agent can either choosean action a that has the highest (currently estimated) Q-valueamong all possible actions, or a random action to explore newtrajectories. After taking the action, the agent transitions to anew state s0 while in the meantime the environment providesa reward r. With the tuple hs, a, r, s0 i, the algorithm uses thefollowing Bellman Equation as an iterative update, which isused to maximize the expected cumulative reward achievablefrom a given state-action pair:Q(s, a) Q(s, a) α[r γmaxa0 Q(s0 , a0 ) Q(s, a)]where α is the learning rate and γ is a discounting factor. Toperform well in the long-term, both the immediate reward andthe future rewards need to be accounted for. γ determines howmuch weight is given to future rewards.Traditional Q-learning uses a Q-table to store the Qvalue for each state-action pair. However, for many realworld problems, the state-action space can be extremely large.For example, when using reinforcement learning to playAtari games, a Q-value for each image frame and possibleactions must be tracked, requiring an impractical amount ofstorage space [8]. One possible solution is to use a neuralnetwork to approximate the Q-function. Given a state s, theneural network can output a vector of approximated Q-valuesfor each possible action. Then, the action with the highestQ-value is chosen. This technique is called deep Q-learning(DQL) [8]. In this paper, we adopt DQL and use a multi-layerperceptron neural network to approximate the Q-function.C. NoC Arbitration as a Reinforcement Learning ProblemReinforcement learning can be applied to the problem ofNoC arbitration to learn an efficient arbitration policy for agiven NoC topology. We can train the agent (a recommendation system for the arbiter) such that for a given state(a collection of input buffers at a router all competing forthe same output port) in the environment (NoC), the agentrecommends an action (one input buffer) that would maximizean accumulated reward (e.g., network throughput). In ourpreliminary exploration, all routers in the system share thesame agent for faster training.III. D ESIGNIn this section, we first provide an overview of the DQLbased NoC arbitration model. Then we describe the DQLmodel in detail, explain how arbitration decisions are made,and how to train the model for better prediction. Whilebuilding a neural network in hardware is costly, our goal isEnvironmentTypeGlobal Localage age Dist HopArb1State2Action3RewardAgentFig. 1: Conceptual diagram of the proposed DRL-based NoCarbitration model.to propose a framework that assists designers in discoveringuseful features.A. DQL-based NoC Arbitration ModelFigure 1 shows a conceptual diagram of the proposed DQLbased NoC arbitration model. Each cycle, each router interactswith the agent by sending its own router state(s). The agentthen evaluates the Q-values for all possible actions and returnsthe values to the router. Based on the Q-values, the routerselects one input buffer and grants the output port. Meanwhile,a reward is sent to the agent for training and further evaluation.Our proposed DQL model consists of the following majorcomponents:1) Environment: The environment is the modeled NoCconsisting of routers, links, as well as processing elementsthat generate and consume packets.2) Agent: The agent takes the router state (see below)as an input and computes the Q-value for each action. Intraditional table-based Q-learning, the number of table entriesgrows exponentially with the number of features used in staterepresentation. It is impractical to maintain a table for thearbitration problem we are solving. As a result, we use a neuralnetwork to approximate the Q-values.3) State: Each router has one state for each output port.Each state is represented with a feature vector for packetsfrom all input buffers. Consider a router with n input portsand m output ports, and each input port has k input buffers(e.g., for multiple message classes, virtual channels). In eachcycle, there could be multiple packets with different featuresfrom different input buffers competing for the same outputport. Thus, we use m state vectors per router, with one vectorper output port. A state vector consists of a list of featuresfrom all packets that compete for the same output port, as wellas zero inputs for empty input buffers and irrelevant packetsthat request other output ports. To be more specific, assumingeach packet has p features, the total length of the state vectoris n k p. Because all routers share the same DQL agent,the input layer width of the neural network used by the agentmust match the width of the largest router’s state vector. Inother words, all state vectors from different routers must havethe same width, and smaller routers must zero pad their statevectors as needed. The agent considers one state vector at atime.Figure 2 shows an example for a router with three inputports and two output ports, where each input port has two

In 112Out 1In 212Out 2In 312Output 1 state vector:0.3 0.7 0 0 0.6 0.2 0 0Featuresfor In1-1Zero inputsfor In1-2Featuresfor In2-10 00 0Zero inputs for In2-2, In3-1, In3-2Output 2 state vector:0 00 00 0 0.3 0.4 0.5 0.1 0 0Zero inputs for In1-1, In1-2, In2-1Featuresfor In2-2Featuresfor In3-1Zero inputsfor In3-2Fig. 2: Router state example.buffers. For simplicity, this example assumes all packets areone-flit wide, and each packet has k features. Packets from thefirst buffer of Input 1 (In1 1) and the first buffer of Input 2(In2 1) compete for Output 1, while the packets from thesecond buffer of Input 2 (In2 2) and the first buffer of Input 3(In3 1) compete for Output 2. According to our definition ofstate, this router has two state vectors. The state vector forOutput 1 starts with k features for In1 1, followed by k zerosbecause In1 2 does not have a packet. The next k elementsare features for In2 1, followed by 3k zeros for packets thatrequest Output 2 and for empty buffers. Similarly, the statevector for Output 2 starts with 3k zeros, followed by featuresfor In2 2 and In3 1, and zero inputs for In3 2.In this work, we consider the packet features listed below.Each feature is normalized such that all elements in the statevector are between 0 and 1. Type: an identifier specifying whether a packet is arequest, response, or coherence probe message.Global age: number of network cycles spent from thepoint when the packet is generated.Local age: number of network cycles spent from the pointwhen the packet arrived at the local router.Distance: number of total hops from the packet’s sourceto destination.Hop: number of hops the packet has traversed so far.4) Action: The agent in our model generates a vector ofQ-values. Each element of the vector corresponds to an inputbuffer. Again, because all routers share the same agent, theneural network’s output layer width must match the number ofinput buffers of the largest router. The Q-value vector is sentback to the router, which is used for making an arbitrationdecision (described in Section III-B).5) Reward: After taking an action, the environment generates a reward and sends it to the agent. The reward determineshow good the taken action is, and the Q-learning algorithm isdesigned to maximize the long-term reward. In the contextof NoC arbitration, several different metrics are possible fordefining a reward. Examples include packet latency, networkthroughput, and fairness. In this work, we consider the following reward options: Reciprocal of average packet latency. For every fixedperiod of time (e.g., 100 cycles), we calculate the averagelatency L of all packets sent through the NoC. Becauselower latencies indicate better performance, the reciprocalof average packet latency (i.e., 1/L) is used as a reward.This value is used for all actions in the next periodregardless of the actual actions taken. Fixed reward of 1 for each action. The intuition behindusing a fixed reward is to maximize the total number ofarbitration actions. The more arbitration is performed, themore packets are sent in the NoC, which leads to a higheroverall network throughput. Link utilization in the previous cycle. The utilization iscalculated as the number of active links in the previouscycle divided by the total number of network links.The goal is to maximize link utilization which in turnimproves the network throughput.B. Decision MakingDepending on input status and output port availability, arouter queries the agent up to m times every cycle, where mis the number of output ports. If none of the input packetsrequest an output port, or an output port is not available (e.g.,in the middle of transmitting a multi-flit packet), the routerdoes not issue a query for that particular output. If an outputport is requested by only one input packet, the output port isdirectly granted to the input buffer without querying the agent.For each issued query, the agent generates a vector of Qvalues and sends it back to the router. After receiving theQ-value vector, the router grants the output port in questionto the input buffer that has the largest Q-value. There are afew cases in which the router selects an input buffer with alower Q-value. The largest Q-value element might correspondto an empty buffer, especially during the initial training phase,when there are other buffers with pending packets. Anothercase involves an input-port conflict. Because an input port canroute at most one packet per cycle, no more than one outputport should be granted to the same input port in the samecycle. In these cases, the router selects an input buffer withthe next largest Q-value.C. Model TrainingCertain reinforcement learning algorithms present instabilitywhen the Q-value function is approximated with a nonlinearfunction such as a neural network. DQL stabilizes the trainingusing experience replay [9] with a separate target network [8].In this section, we explain how the parameters θ (weightsand biases) of the neural network are updated, and how westabilize the training.Updating Neural Network Parameters. There are twoneural networks in our model: a prediction network Q withparameters θ , and a target network Q̂ with parameters θ̂ .The prediction network is used to compute the Q-value vectorthat the router uses to make arbitration decisions. The target

IV. E VALUATIONIn this section, we describe our evaluation environment.Then we present the experimental results and our findings.1000Average Packet Latency900800700All featureTypeGlobal ageLocal 3141514151415(a) Uniform Random (0.23 packet/cycle/node).4000Average Packet Latency3500All featureTypeGlobal ageLocal 111213(b) Bit-complement (0.17 packet/cycle/node).1200All featureTypeGlobal ageLocal ageDistHop1000Average Packet Latencynetwork is used to compute the target Q-values that are usedto update parameters. In each training step, a gradient descenton10 022 [r γmaxa0 Q̂(s , a ) Q(s, a)]is performed with regard to the prediction network’s parameters θ . To stabilize the training, we set θ̂ θ for everyfixed number of steps (100 steps in our model). In otherwords, the prediction network parameters are updated in eachtraining step, while the target network parameters are updatedperiodically.Experience Replay. Each time the agent interacts with theenvironment, an experience record hs, a, r, s0 i is generated andstored in a replay memory. The replay memory is a circularbuffer with a limited number of entries (200 in our model)and the oldest record is overwritten by a new record. Whentraining the model, instead of using the most recent record,records are randomly sampled from the replay memory toform minibatches. Based on the current state s, the agentonly provides the router with a vector of Q-values, and therouter decides which input buffer to select. Therefore, therouter needs to inform the agent of the next state s0 afterarbitration is performed. Experience replay breaks the similarity of subsequent training samples, which in turn reducesthe likelihood of the neural network from being directed intolocal minima. In addition, experience replay allows the modelsto learn the past experience multiple times, leading to fastermodel convergence.8006004002000A. Experimental MethodologyWe use Garnet [10] in our evaluation. We primarily studya 4 4 mesh network with 2-stage routers. Each router isattached to a processing element that generates and consumesnetwork packets. The default routing algorithm is XY routing,and the baseline arbitration policy is round-robin. Without lossof generality, we generate three types of messages: 1) requestmessages, which are 1 flit in length; 2) forward messages,which are also 1 flit in length; and 3) response messages,which are 5 flits in length (one header flit plus four moredata flits for a cacheline). There are separate input buffersfor different message classes, and each message class hasone virtual channel (VC) with 4-flit buffers. We also evaluateand present results for a larger network (8 8 mesh) andvarying numbers of virtual channels, but we primarily focusour discussion on the 4 4 mesh 1-VC configuration.We evaluate the proposed DQL-based arbitration modelwith three traffic patterns: 1) Uniform Random: destinationsare randomly selected with a uniform distribution; 2) Bitcomplement: each node sends messages only to the nodecorresponding to the 1’s complement of its own address; and3) Transpose: node (x, y) sends messages only to (y, x).The DQL framework described in Section III is implemented and integrated into Garnet. The agent neural network123456789Epoch10111213(c) Transpose (0.15 packet/cycle/node).Fig. 3: Training results comparison for agent neural networkswith different input features across three different traffic patterns. Each figure shows only 15 epochs.consists of one input layer, one hidden layer, and one outputlayer. The activation functions we use for the hidden andthe output layer are Sigmoid and ReLU, respectively. Weuse five features from each message (type, global age, localage, source-to-destination distance, and hop count), and threereward criteria (reciprocal of average latency, fixed reward,and link utilization). To add an additional comparison point,we provide an ideal implementation of age-based arbitrationwhere each packet is timestamped at injection and the agecontinues to increase every cycle. Age-based arbitration iscostly to implement in real hardware but provides globalfairness [11].B. Experimental Results1) Feature Studies: Figure 3 shows the training results forthe proposed model with different input features. For eachtraffic pattern, we first sweep the network injection rate usingthe baseline RR arbitration routers to find the saturation point

at which the packet latency increases dramatically. We thentrain the DQL-based model using the same injection rate.The model is trained for 30 epochs, and each epoch is threemillion cycles long. The parameters of the neural network arerandomly initialized for the first epoch. At the end of eachepoch, the updated parameters are saved; in the next epoch,the saved parameters are used to initialize the neural network.In this figure, All f eature means that the router input statesinclude all five features described in Section III, while the restonly include a single feature. We notice that all features exceptfor Type converge after a few epochs of training. Features suchas Global age and Dist perform well across all traffic patternswhile other features, namely Local age and Hop, significantlyreduce packet latency under Uniform Random and Transposetraffic but are less effective under Bit-complement traffic. Inaddition, the configuration with all features combined does notnecessarily lead to the lowest latency under Uniform Randomand Bit-complement traffic.TABLE I: Average packet latency comparison. Feature(s) thatlead to the best performance improvement are noted in theparenthesis.Uniform ge28.724.719.8DQL56.1 (Local age, Dist)36.9 (Dist)41.8 (All feature)To demonstrate the effectiveness of the proposed model,Table I shows a comparison of packet latency across roundrobin, age-based, and DQL-based arbitration policies. Again,all of the networks operate at the injection rate that saturatesthe RR-based network. Age-based arbitration prioritizes thepacket with the oldest age, thereby providing global fairnessand reducing the variance in packet transit time. It alsoreduces the average packet latency in the evaluated system.Our proposed DQL-based arbitration model is also effectivein reducing packet latency. At the RR-based network’s saturation point, 98.8%, 99.2%, 98.8% of latency reduction isachieved for uniform random, bit-complement, and transposetraffic, respectively. In terms of throughput, as shown in Figure 4, the DQL-based arbitration policy improves the networkthroughput over RR-based policy by 4.5%, 6.2%, and 7.1%under uniform random, bit-complement, and transpose traffic,respectively.Although not all features lead to promising latency reductions, designers can use the proposed model to filter outuninteresting features and focus on potentially useful features.For example, Dist and Hop are shown to reduce latency, andthey have been used to approximate the global age in priorwork [4], [12]. Although we only evaluate a small numberof features in this work, we believe our proposed model canpotentially be used to explore a wide range of new features,enabling architects to design new arbitration policies and/orimproving existing policies.2) Study on Reward Criteria: Figure 5 shows a comparisonamong our three reward criteria: reciprocal of average packetlatency, fixed reward for each action, and link utilization inthe previous cycle. Overall, all three criteria lead to significantreduction in network latency compared to the RR policy. Astraining proceeds, Avg latency and Link utilization performslightly better than Fixed reward. Compared to the features,we found that the choice of reward is less critical as longas the criterion is associated with a performance metric thatdesigners target for improvement.TABLE II: Average packet latency reduction at saturation pointafter using the DQL model4x4 mesh2VC/class8x8 mesh1VC/classUniform RandomBit-complementTransposeUniform DQL (trainedin 4x4 mesh)2765.0652.81118.53) Network Configurations: In this study, we investigatethe proposed model with two different network configurations.The first system is a 4 4 mesh network, but we provide twoVCs for each message class in contrast to only one in theprevious study. The second system is an 8 8 mesh network,and each message class has one VC. Table II shows the latencyresults. For the last column, we apply the neural network thatis trained in a 4x4 mesh 1-VC system to an 8x8 mesh 1-VCsystem and disable on-line training. The purpose is to studywhether a neural network that is trained in one system can beapplied to another system to reduce training overheads. Noticethat the number of input buffers (neurons) for a 1-VC routerdiffers from a 2-VC router, thus we cannot use the same neuralnetwork in the 2-VC system. Results show that our proposedsystem is capable of reducing packet latency compared to theRR policy in all cases. We also found that a pre-trained neuralnetwork is effective in a different system. As we stated, thisis a preliminary work of utilizing machine learning to assistin NoC design. We will look into the interpretability of theneural network and broader feature exploration in future work.Overall, we believe that the proposed model is applicable toa wide range of systems and can be used to guide the designof NoC arbitration policies.V. R ELATED W ORKIn additional to the work outlined in Section II-A, iSLIP [13] is an RR-based policy that performs multiple iterations to find a conflict-free input-to-output mapping. Pingpong arbitration [14] is another RR-based policy that dividesthe inputs into groups and applies arbitration recursively toprovide fair sharing of switch bandwidth among inputs. Das etal. [15] propose a slack-aware arbitration policy that utilizesmemory access criticality information for packet schedulingwithin the NoC.Machine learning in microarchitecture is not new, and thereis a large body of prior work that utilizes machine learningtechniques to improve architectural designs. The perceptron

Injection Rate (pkt/cycle/node)Injection Rate (pkt/cycle/node)(a) Uniform Random(b) 190.170.150.130.110.090Average Packet verage Packet LatencyDQL1500.01Average Packet LatencyRR200Injection Rate (pkt/cycle/node)(c) TransposeFig. 4: Throughput comparison of round-robin (RR), age-based (Age), and DQL-based (DQL) arbitration policies.Average Packet Latency200180Avg latency160Fixed reward140names used in this publication are for identification purposesonly and may be trademarks of their respective companies.Link utilizationR Fig. 5: Training results comparison for agent neural networkswith different reward criteria under uniform random traffic.branch predictor [16] uses a linear classifier that is trainedonline to predict whether a branch is taken or not. Ipeket al. [1] proposed a reinforcement learning-based memorycontroller that interacts with the system to optimize performance. Teran et al. [17] proposed perceptron learning for reuseprediction, which uses tags and program counters to learncorrelations between past cache access patterns and futureaccesses. More recently, Zeng et al. [2] proposed a long shortterm memory-based memory prefetcher that learns to captureregular memory access patterns. Hashemi et al. [3] relate contemporary prefetching strategies to n-gram models in naturallanguage processing and propose a recurrent neural networkbased prefetcher that handles more irregular benchmarks.VI. C ONCLUSION AND F UTURE W ORKIn this work, we demonstrated the effectiveness of applyingdeep Q-learning to the design of NoC arbitration policies. Theproposed DQL-based arbitration model observes router statesand evaluates the performance impact of selecting a candidateinput buffer for arbitration. Through online learning, the modellearns to make decisions that maximize the long-term NoCperformance. We evaluated the proposed approach with various system configurations and synthetic traffic patterns, andthe experimental results show that the DQL-based arbitrationmodel is effective in reducing packet latency. While a full DQLalgorithm is not practical to directly implement in a real NoC,we believe that the proposed approach can assist designers inexploring potentially useful features to design more efficientNoC arbitration policies.ACKNOWLEDGMENTAMD, the AMD Arrow logo, and combinations thereof aretrademarks of Advanced Micro Devices, Inc. Other product[1] E. Ipek, O. Mutlu, J. F. Martı́nez, and R. Caruana, “Self-optimizingmemory controllers: A reinforcement learning approach,” in Proceedingsof the 35th Annual International Symposium on Computer Architecture,2008.[2] Y. Zeng and X. Guo, “Long short term memory based hardwareprefetcher: A case study,” in Proceedings of the International Symposiumon Memory Systems.[3] M. Hashemi, K. Swersky, J. A. Smith, G. Ayers, H. Litz, J. Chang,C. Kozyrakis, and P. Ranganathan, “Learning memory access patterns,”eprint arXiv:1803.02329 [cs.LG], 2018.[4] M. M. Lee, J. Kim, D. Abts, M. Marty, and J. W. Lee, “Probabilisticdistance-based arbitration: Providing equality of service for many-corecmps,” in Proceedings of the 2010 43rd Annual IEEE/ACM InternationalSymposium on Microarchitecture, 2010.[5] A. Demers, S. Keshav, and S. Shenker, “Analysis and simulation of a fairqueueing algorithm,” in Symposium Proceeding

C. NoC Arbitration as a Reinforcement Learning Problem Reinforcement learning can be applied to the problem of NoC arbitration to learn an efficient arbitration policy for a given NoC topology. We can train the agent (a recommen-dation system for the arbiter) such that for a given state (a collection of input buffers at a router all competing for

Related Documents:

May 2020 NOC April 2020 NOC March 2020 Modification of Section IV Table 11C.1 (New provision symbols: 30B#6.21N_1, 30B#6.21N_2) February 2020 NOC January 2020 Modification of Section II Chapter 1 and Chapter 2 with new Special Sections: AP30/P and AP/30A/P. December 2019 NOC November 2019 NOC October 2019 NOC September 2019

Once the design of the basic NOC architecture became established, new techniques evolved to address advanced issues such as dynamic load balancing on to a node of the NOC architecture, the shortest/fastest path for the data flow through NOC, and energy efficient NOC architecture design. Most researchers have focused on the

complex system chip design, especially for NoC-based SoC, which has been increasingly applied to complex system design. This paper proposes a collaborative verification and testing platform for NoC-based SoC. Based on VMM verification methodology, a hierarchical NoC validation platform is constructed and assigned to the function verification of NoC

without breaking your business model, Kaseya NOC Services can help. Designed to let you scale quickly, Kaseya NOC Services deliver the monitoring and management services you need to extend your existing in-house staff and meet your customers' demands. You can deploy Kaseya NOC Services 24x7 as a permanent 'virtual' member of your IT staff.

Our NOC obtains both area and en-ergy benefits without compromising either performance or QOS guarantees. In a notional 256mm2 high-end chip, the proposed NOC consumes under 7% of the overall area and 23.5W of power at a sustained network load of 10%, a mod-est fraction of the overall power budget. Table 1: Scalability of NOC topologies. k .

To overcome these limitations, we propose an NoC-enabled PIM-based architecture that amalgamates: (a) multiple logic layers in conventional PIM, (b) M3D-based vertical integration, and (c) efficient 3D-NoC design for high-performance k-mer counting, while remaining within 85ᵒC temperature. To take advantage of and aid NoC design, we also

NOC The nursing outcomes classification (NOC) is a classification of nurse sensitive outcomes NOC outcomes and indicators allow for measurement of the patient, family, or community outcome at any point on a continuum from most negative to most positive and at different points in time. ( Iowa Outcome Project, 2008)

3 PRACTICE TEST 01 May 2004 Question 1-10 All mammals feed their young. Beluga whale mothers, for example, nurse their calves for some twenty months, until they are about to give birth again and their young are able to